Тёмный
Cadence Design Systems
Cadence Design Systems
Cadence Design Systems
Подписаться
Cadence is a pivotal leader in electronic systems design, building upon more than 30 years of computational software expertise. The company applies its underlying Intelligent System Design strategy to deliver software, hardware and IP that turn design concepts into reality. Cadence customers are the world’s most innovative companies, delivering extraordinary electronic products from chips to boards to complete systems for the most dynamic market applications, including hyperscale computing, 5G communications, automotive, mobile, aerospace, consumer, industrial and healthcare. For eight years in a row, Fortune magazine has named Cadence one of the 100 Best Companies to Work For. Learn more at cadence.com.
Cadence Fem.AI Summit 2024 Highlights
1:33
12 часов назад
Spectre Language Command
6:15
Месяц назад
Contents of a Spectre Netlist
3:35
Месяц назад
Allegro X AI In Action
0:49
Месяц назад
Cadence at IMS 2024
0:58
Месяц назад
What is RTL Coding In VLSI Design?
0:59
2 месяца назад
Unveiling the Future of Bug Hunting with AI
19:32
2 месяца назад
Комментарии
@previousslayer
@previousslayer 2 дня назад
This is using engineering sample Micron (branded Spectek?) 8Gbit Rev.A ICs from what I'm gathering... They never made it to retail looks like 😭
@flyxtop
@flyxtop 8 дней назад
Most often, if I click a signal in the design browser, it goes to the waveform window, but sometimes it doesn't go to waveform automatically and I should press the 'send the signal to waveform window' button explicitly. How should I make it happen automatically by clicking the signal in the design browser??
@dimitriosloulourgas7767
@dimitriosloulourgas7767 12 дней назад
good stuff, a very good explanation using the timing diagrams to demonstrate complex subject matter.
@sasikumarr150
@sasikumarr150 14 дней назад
Sir i need to learn Verilog could you please help me out ...how to learn from Cadence website
@sasikumarr150
@sasikumarr150 19 дней назад
Sir I need Cadence reference id or Host id to access the LMS did anyone help me out
@hamidhassan-vo5pg
@hamidhassan-vo5pg 24 дня назад
can we gwt the project file? .emp file
@meetube30
@meetube30 27 дней назад
Looks like a buffoon 😂😂😂
@Jamboreeni
@Jamboreeni Месяц назад
Why exactly is the PHY required?
@SNEHASHOME-g1n
@SNEHASHOME-g1n Месяц назад
Please correct the audio problem.
@dontknow6455
@dontknow6455 2 месяца назад
Can you suggest how can I start begin learning Specman ??
@uday7777777
@uday7777777 2 месяца назад
Awesome explanation 👌
@madhurikam9331
@madhurikam9331 2 месяца назад
Where can I find the test.lib file?
@harshsharma7763
@harshsharma7763 2 месяца назад
It should be available within the design files.
@GreatPlaceToWorkIsrael
@GreatPlaceToWorkIsrael 2 месяца назад
Keep rocking❤
@nerochu-luntai6205
@nerochu-luntai6205 2 месяца назад
only 9 mins video, but I spent one hour for learn this. I did graduate school lecture before, but still feel so hard to understand this. EE is real hell level for peoples. OMG
@Ksmorjzmor7
@Ksmorjzmor7 3 месяца назад
Hi!
@FanTFI
@FanTFI 3 месяца назад
Good one ,but how to calculate the area (A) ?
@kids123123123
@kids123123123 3 месяца назад
What a crap example. Does this mean you have to encase your whole .il file in a prog( ) function, and then you can just use return() anywhere within???
@SiddharthSrivastava29
@SiddharthSrivastava29 4 месяца назад
Good intro
@gantisubash7901
@gantisubash7901 5 месяцев назад
The course was very informative and well delivered. Thanks!
@andreasjohansen1658
@andreasjohansen1658 6 месяцев назад
I am yours former employee. I remember I used simvision to verify commands between controller and device. It was great time, great place to work with firmware and electronics. I miss old times.
@ChiragHadiya
@ChiragHadiya 6 месяцев назад
good explanation.
@cvspvr
@cvspvr 6 месяцев назад
are you using windows 98??
@tntcaptain9
@tntcaptain9 6 месяцев назад
Great Explanation. Thanks!
@sejalambani29
@sejalambani29 6 месяцев назад
If i want to bind instance with generate blocks in hierarchy, will it same work?
@mr.faisal1708
@mr.faisal1708 6 месяцев назад
greatly explained, thanks
@jingalala-yg4dp
@jingalala-yg4dp 6 месяцев назад
i dont understand at the part where the multiple clocks are used and the flip flop is negative edge triggered but u are checking the setup and the hold check at the positive edge of the capture flip flop
@DavidEke-rq5ng
@DavidEke-rq5ng 7 месяцев назад
What a good primer series. Definitely one I will recommend to new verification engineers. Thanks for posting it
@HuruthambikaKumaraguru-b2m
@HuruthambikaKumaraguru-b2m 7 месяцев назад
Do we have any trial version for Xcelium to understand the workflow.
@jaysingh6066
@jaysingh6066 7 месяцев назад
awesome video !!
@sabarish862
@sabarish862 7 месяцев назад
What if multiple sequences uses grab method in parallel
@EchoWang-t9f
@EchoWang-t9f 7 месяцев назад
Can I use pss model and perspec tool generate c++ tests code and reuse the existing c++ class and functions?
@kvrthesocialteacher6617
@kvrthesocialteacher6617 8 месяцев назад
Hooo. U shall be our MLA yar
@householdemail1305
@householdemail1305 8 месяцев назад
I like the two sided imprints.
@hightechsystem_
@hightechsystem_ 8 месяцев назад
This is a good presentation. However, there is not discussion about how to manage module parameters, and in designs where you have a very large number of parametrised instances of a module and you need to ensure you haven’t missed binding an assertion checker to each of those parameterized instances. For example, can we include a bind statement inside a parameterised module to ensure it binds a checker module with the same parameters.
@01MeuCanal
@01MeuCanal 8 месяцев назад
Why we should renew this software license every 30 days? Will it become not free?
@Chalapathi2
@Chalapathi2 8 месяцев назад
Goodmng anna...The way of yours explanation is fabulous..
@TRANmarry
@TRANmarry 9 месяцев назад
请问7:38那里,仿真结束这么快,是因为剪辑了吗
@praveenlb1
@praveenlb1 9 месяцев назад
This worst viewer tool. pervious version are more user friendly . cant get simple trace measurement.
@CandyHam
@CandyHam 9 месяцев назад
There is no audio
@JMADHUKARREDDY-m7l
@JMADHUKARREDDY-m7l 10 месяцев назад
Very good 👍👍
@AleLondon
@AleLondon 10 месяцев назад
Ms Nelson, thank you for this presentation. If you permit me, I'd suggest you try to read before you say what you've read, in this way, you'll make the written words yours, and the concepts will be undesrtood much better by all of us.
@sasisasi6574
@sasisasi6574 10 месяцев назад
Great video
@qemmm11
@qemmm11 10 месяцев назад
Much appreciated 🫡
@deeptitripathi3421
@deeptitripathi3421 10 месяцев назад
Hi, @around 2.17...I wanted to know just sending the signal to the waveform window sufficient to have the values of signals not probed or is there any pre-requisites to achieve that?
@8754484388
@8754484388 10 месяцев назад
Thank you so much, great details
@syedahmad5655
@syedahmad5655 11 месяцев назад
HI @cadencedesignsystems. We would like to build tools and extensions using SKILL. However - we also want to ensure that the SKILL code can be fully encrypted, obfuscated, and we can run testcases to easily regression test the SKILL code. Can you pls let me know how best to achieve this?
@ToySeeker
@ToySeeker 11 месяцев назад
Paul, this is incredibly detailed and well informed! Thank you for this priceless information! So valuable! 🤝🦖🍪
@ninad2740
@ninad2740 11 месяцев назад
how did u open the model editor?
@stefansurducan5066
@stefansurducan5066 11 месяцев назад
Extremely useful, thank you.