Тёмный
Electro DeCODE
Electro DeCODE
Electro DeCODE
Подписаться
Electro DeCODE: Master Electronics, Artificial Intelligence, Robotics, and Biomedical Engineering

Welcome to Electro DeCODE, your ultimate destination for learning and mastering Artificial Intelligence, Electronics, Robotics, and Biomedical Engineering. Our channel offers high-quality tutorials designed for researchers, engineers, and developers.

Discover comprehensive courses on:
AI, Machine Learning, Deep Learning
Verilog, VHDL
Digital System Design
Python, C++, MATLAB
Digital Electronics
Digital Image Processing with MATLAB & Python
FPGA-based Design
Signal Processing with MATLAB & Python
AutoCAD

Join Electro DeCODE today and elevate your skills with our expert tutorials!
Комментарии
@rootiabonn6053
@rootiabonn6053 13 дней назад
can you add english subtitle? thank uuu
@moosanoor7416
@moosanoor7416 Месяц назад
Great explained ❤
@ElectroDeCODE
@ElectroDeCODE Месяц назад
Thanks. we need your support and encouragement to continue.
@skkl8045
@skkl8045 2 месяца назад
I fckn hate the fact that every hindu youtuber use english titles for hindi videos. Are you guys stupid? Use ur language
@abdulqadoos8476
@abdulqadoos8476 2 месяца назад
Awsm ❤
@ElectroDeCODE
@ElectroDeCODE Месяц назад
Thanks
@ashutoshjoshi7605
@ashutoshjoshi7605 2 месяца назад
my board is not able to auto connect. im getting this error: ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Digilent/210274532241A. Check cable connectivity and that the target board is powered up then use the disconnect_hw_server and connect_hw_server to re-register this hardware target. ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.
@muhammadfarhan-ux8fd
@muhammadfarhan-ux8fd 2 месяца назад
Mashallah Good job Bro hum apn Fyp project machine. Learning per ka raha han . Guidelines ka Lia app What's number send Kar dan. From University of wah.
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
please contact us via out facebook page.
@amruthbhuyar7803
@amruthbhuyar7803 2 месяца назад
Sir 8x8 matrix multiplication please
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Thanks for your comment. We will make that video very soon. stay connected.
@user-je2tt5th5m
@user-je2tt5th5m 2 месяца назад
Realy helpful video ❤‍🩹💫 Thank you so much sir .
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Most welcome
@Aftabalam-xm7li
@Aftabalam-xm7li 2 месяца назад
Sir graph ko kis thera rotate karte hun
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Charts that support rotate mode typically display the rotate icon in the axes toolbar. You can also interactively explore data using built-in axes interactions that are enabled by default. For example, you can rotate the view of a 3-D axes by dragging the axes.
@user-ph2gx8gx7v
@user-ph2gx8gx7v 2 месяца назад
can you share the coding sir
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Thanks for your reply. See the comment below.
@ayushikotwal6293
@ayushikotwal6293 2 месяца назад
can u plz give ur source code
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Please contact the admin via email or facebook.
@pushkarbarve6098
@pushkarbarve6098 3 месяца назад
why did you write cout<<count<<endl; outside the while loop
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
To get the correct output.
@muhammedfayas5907
@muhammedfayas5907 3 месяца назад
Hello Sir, can u please tell me how to access the BRAM in FPGA board, and how to store text file in the BRAM.
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
This is how it could be done: module bram_example ( input wire clk, input wire we, input wire [addr_width-1:0] addr, input wire [data_width-1:0] din, output wire [data_width-1:0] dout ); parameter addr_width = 10; parameter data_width = 8; // BRAM instantiation reg [data_width-1:0] bram [(2**addr_width)-1:0]; reg [data_width-1:0] dout_reg; always @(posedge clk) begin if (we) begin bram[addr] <= din; end dout_reg <= bram[addr]; end assign dout = dout_reg; endmodule
@nidatasneem1792
@nidatasneem1792 3 месяца назад
excellent
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Many thanks
@zezo2895
@zezo2895 3 месяца назад
Thank you sir❤
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Most welcome
@deepeshbhattarai2
@deepeshbhattarai2 3 месяца назад
Hello Where can you provide me your code zip file?
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Thanks for your reply. See the comment below.
@iqraaftab3081
@iqraaftab3081 3 месяца назад
Outstandig
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Thanks and keep watching for more exciting stuff.
@VikasDwivedi-li9md
@VikasDwivedi-li9md 3 месяца назад
thaq very much sir...aap jaise channel ki jrurat hai aap se pdne ke bad puri trf se concpt smjh me aaya
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Thanks
@syedraziuddin9889
@syedraziuddin9889 3 месяца назад
good
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Thanks
@TheTrooper1878
@TheTrooper1878 3 месяца назад
why put english title when the video is not in english?
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Noted.
@kar-dt1hk
@kar-dt1hk 3 месяца назад
verg good video,can you please send me this code
@HamasAlesha_456
@HamasAlesha_456 4 месяца назад
Sister
@SenayudhaS
@SenayudhaS 4 месяца назад
why mine is inverted
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Check your connections carefully.
@thymanbearpig7555
@thymanbearpig7555 Месяц назад
I had the same issue, the case statement here should actually make cathode output reg to be declared as [0:6].
@ElectroDeCODE
@ElectroDeCODE Месяц назад
@thymanbearpig7555 thanks for your input dear
@sonalpal7821
@sonalpal7821 4 месяца назад
Wow thanks good expernation from India ❤❤
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
welcome dear.
@Wardahbatool_
@Wardahbatool_ 4 месяца назад
So proud of you.... keep being awesome girly 🎉❤
@rabianaseer9808
@rabianaseer9808 4 месяца назад
Keep shining roll no. 1😌🫂
@fabrute7345
@fabrute7345 4 месяца назад
More powers to u girl❤❤
@malaikasumble2637
@malaikasumble2637 4 месяца назад
So proud of u Girl keep growing❤️
@BASHA6365
@BASHA6365 4 месяца назад
Videos are not Uploading From you.What Happened?
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Noted. we will upload new videos soon. keep watching and supporting. thanks.
@karthiktammali2423
@karthiktammali2423 4 месяца назад
Hello sir Can I get the source code
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Please wait
@biswojyotikhandual
@biswojyotikhandual 5 месяцев назад
brother can you give the code for transformer vibration fault detection using machine learning
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Will upload soon
@junaidbutt9513
@junaidbutt9513 5 месяцев назад
For loop ki smj ni ae
@balajiprithviraj5145
@balajiprithviraj5145 5 месяцев назад
Sir one doubt, in the test bench code you have given A=3'b0011 which not correct na? You have to give A=4'b0011 right? But still the code worked properly?
@user-mw9nw5nc6i
@user-mw9nw5nc6i 5 месяцев назад
we are waiting for 8 bit flipflop and more, please start making video again on verilog
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
It will be uploaded soon.
@aamirali6976
@aamirali6976 5 месяцев назад
Thanks Sir. Appriciated
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
So nice of you
@iftikharalimemon
@iftikharalimemon 5 месяцев назад
Good work dear
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Thanks a lot
@1batch2batch
@1batch2batch 5 месяцев назад
Sir aapne bahot hi badhiya knowledge share Kiya hain. Sukriya ❤
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Thanks
@chass7050
@chass7050 5 месяцев назад
Buy a good mic lil bro
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Noted. THanks
@DesperoxLoL
@DesperoxLoL 6 месяцев назад
Great work! can u please share the code with us?
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
Sent
@Zainab-Ib9
@Zainab-Ib9 6 месяцев назад
thank you
@ElectroDeCODE
@ElectroDeCODE 3 месяца назад
You're welcome
@ygspoetrycollection3684
@ygspoetrycollection3684 7 месяцев назад
I love you sir❤
@sinemkaya7132
@sinemkaya7132 7 месяцев назад
İs there an article for your work ?
@shraddhakatara7131
@shraddhakatara7131 7 месяцев назад
i have nothing in my workmodule what to do now
@saikrishna1444
@saikrishna1444 7 месяцев назад
Can you provide the source code?
@mvp-_-sohaib6461
@mvp-_-sohaib6461 7 месяцев назад
thanks
@technologymix4709
@technologymix4709 8 месяцев назад
Very impressive teaching technique
@ranajameel8452
@ranajameel8452 8 месяцев назад
Can you share an 8- bit multiplier
@ElectroDeCODE
@ElectroDeCODE 2 месяца назад
Noted. A new video will be uploaded soon.
@mattnaganidhi942
@mattnaganidhi942 8 месяцев назад
Interesting 🤔
@aboueltayebyahiaoui6053
@aboueltayebyahiaoui6053 8 месяцев назад
ty my friend but where is the code ?
@mohamed_abdelkhalek
@mohamed_abdelkhalek 8 месяцев назад
what is the meaning of each peak in th signal? in 7:05