Тёмный

Verilog Tutorial 5 -- Ripple Carry Full Adder 

EDA Playground
Подписаться 9 тыс.
Просмотров 62 тыс.
50% 1

Опубликовано:

 

18 сен 2024

Поделиться:

Ссылка:

Скачать:

Готовим ссылку...

Добавить в:

Мой плейлист
Посмотреть позже
Комментарии : 27   
@firefly618
@firefly618 8 лет назад
Victor has done a tremendous job, both at creating EDA Playground and at explaining Verilog. I'm an electronics hobbyist who is just starting out with Verilog, in the hope of programming CPLD and FPGAs in the future. This is probably the best Verilog tutorial all over the Internet. Thanks a lot!
@MuhdAkmal98
@MuhdAkmal98 3 года назад
Coming from 2021 and this video explains alot in how to implement Verilog HDL using gates and non gates method. Thanks !
@Edaplayground_EPWave
@Edaplayground_EPWave 10 лет назад
Comment from Connor Zaleski: This is super helpful and way way way better at explaining how to use this stuff by going step by step compared to the way my lectures seem to be. Also EDA playground seems like a great online program i can't believe our professors made us install quartus II. Thanks for the help and please don't stop doing these tutorials! Verilog Tutorial 5 -- Ripple Carry Full Adder
@ConnorZaleski
@ConnorZaleski 10 лет назад
6000 views no comments? damn. This is super helpful and way way way better at explaining how to use this stuff by going step by step compared to the way my lectures seem to be. Also EDA playground seems like a great online program i can't believe our professors made us install quartus II. Thanks for the help and please don't stop doing these tutorials!
@Edaplayground_EPWave
@Edaplayground_EPWave 10 лет назад
Connor Zaleski Thank you the feedback. I mentioned it on a couple social media sites.
@designbulk
@designbulk 10 лет назад
dude...I had to install Quartus II for my verilog adder program too and then I thought....what are the chances that he goes to the same school as me! I looked at the roster on angel just out of curiousity and there you were, in my class with narayanan lol
@designbulk
@designbulk 10 лет назад
designbulk ahhh I just realized this link was posted on the homework section lol...I found the video just by googling
@ConnorZaleski
@ConnorZaleski 10 лет назад
designbulk Haha ironically I found the video through google, then i noticed the link. So let's just pretend the world is as small as you initially thought.
@icanmakemusic362
@icanmakemusic362 6 лет назад
Only tutorial so far that I've seen go step by step, and only tutorial that I've seen show how to actually initialize modules created before, inside other modules.
@bahaatamer1245
@bahaatamer1245 6 лет назад
I learned the needs of a couple of functions in 1 video! Thank you so much EDA Playground :)
@Edaplayground_EPWave
@Edaplayground_EPWave 11 лет назад
Recommend viewing in 720p quality or higher.
@gatitonegro077
@gatitonegro077 2 года назад
thx so much!
@panostzakis6925
@panostzakis6925 2 года назад
Im greatfull thank you sir!!You are awesome very good video !!Keep on
@ezequiasantunes6025
@ezequiasantunes6025 7 лет назад
Parabéns! Muito bom, aprendi bastante com este vídeo.
@karengracevctor211
@karengracevctor211 9 лет назад
Hi! Thanks for this video! It's really helpful. Might I ask, other than processors, what other devices use ripple carry adders?
@Edaplayground_EPWave
@Edaplayground_EPWave 9 лет назад
Karen Grace Victor Hi Karen, Victor has done a good job, hasn't he? This is Matthew Taylor. I work for a company called Doulos - we are an independent training company and have recently bought EDA Playground. There are various kinds of adder as well as ripple carry adders: you might want to google "carry look ahead" and "carry select" adders. Adder design is a tradeoff between area and speed: a ripple carry adder is small, but slow; other adders are quicker, but bigger. A ripple carry adder is slow, because the carry chain propagates through every stage. Look at the diagram Victor shows 45 seconds into the video - the carry chain propagates though all four bits of the adder. With a 64-bit adder, it would have to propagate through all 64 bits. So, generally in logic design, meeting timing constraints is the first priority and reducing area the second. So, if a ripple carry adder is fast enough, that would be used. If not, some other quicker, but bigger design is necessary. So, there isn't really a simple answer to your question: a ripple carry adder would be often chosen if it is fast enough. Chips I have designed generally didn't have fast clocks or wide data busses, so ripple carry adders were usually good enough. Cheers, Matthew
@Kalmeyra
@Kalmeyra 9 лет назад
Thank you very much!
@chickenway8053
@chickenway8053 6 лет назад
thank you So much !
@debayandas5843
@debayandas5843 7 лет назад
Sir , I am getting this error mentioned below. Finding VCD file... No *.vcd file found. EPWave will not open. Did you use '$dumpfile("dump.vcd"); $dumpvars;'?
@Edaplayground_EPWave
@Edaplayground_EPWave 7 лет назад
On the above example? Or on a different one? If on a different one, have you added those lines ("$dumpfile("dump.vcd"); $dumpvars;") to an initial block in the testbench? If so, please post the URL so I can have a look at it.
@debayandas5843
@debayandas5843 7 лет назад
I was working in EDA playground. I tried many examples but not showing the plot.
@debayandas5843
@debayandas5843 7 лет назад
I guess u didn't use those commands. I tried your example but no output graph.
@Edaplayground_EPWave
@Edaplayground_EPWave 7 лет назад
It would be easier if you could post a URL. However, here are 3 reasons why you mihgt not be seeing a plot: 1) you haven't checked the 'Open EPWave after run' check box 2) your Verilog/SV code doesn't include '$dumpfile("dump.vcd"); $dumpvars;'' 3) your simulation runs for more than 1 minute, so times out, so there will be no VCD file
@debayandas5843
@debayandas5843 7 лет назад
Everything is fine sir I followed your tutorial but I am not getting the plot even after adding the dumpfile and var commands. And even I have checked EPWave after run. May be its my fault in writing. Once rsolved I will let u know. Thankyou sir
Далее
4-Bit Ripple Carry Adder Block Design in Vivado.
18:27
Просмотров 3,1 тыс.
GIANT Gummy Worm Pt.6 #shorts
00:46
Просмотров 8 млн
Verilog Tutorial 1 -- Ripple Carry Counter
14:23
Просмотров 81 тыс.
4 Bit Adder in Verilog Using Instantiation
11:03
Просмотров 9 тыс.
Logic Gates and the Ripple Carry Adder
9:25
Просмотров 54 тыс.
Intro to Verilog and ModelSim, Part1
30:23
Просмотров 52 тыс.
UVM Hello World Tutorial
13:22
Просмотров 48 тыс.
Verilog Tutorial 10 -- Generate Blocks
9:44
Просмотров 26 тыс.
4. Assembly Language & Computer Architecture
1:17:35
Просмотров 712 тыс.