Тёмный

Learn VERILOG for VLSI Placements for FREE | whyRD 

whyRD
Подписаться 22 тыс.
Просмотров 34 тыс.
50% 1

Опубликовано:

 

21 окт 2024

Поделиться:

Ссылка:

Скачать:

Готовим ссылку...

Добавить в:

Мой плейлист
Посмотреть позже
Комментарии : 100   
@abhinandhanvuppala8248
@abhinandhanvuppala8248 2 года назад
Bro i am currently pursuing 3rd year my request is to do a video how we can get internships in our core domain so which improves our work exposure
@mdarshadmurtaza2432
@mdarshadmurtaza2432 2 года назад
Bro I am in 2sem VLSI & EMDD Mtech and wanted to do internship in core domain. So pls guide us as soon as possible....
@Fat_cat0706
@Fat_cat0706 Год назад
Yes sir please this is very important topic
@kankanghosh7205
@kankanghosh7205 Год назад
@Mocite Technology u cn do ur internship from here. You cn find course details in linkdin
@yobuddy2092
@yobuddy2092 Год назад
brother, you are truly gem for me i was searching for these kind of information for so long but i found it now. million thanks to u brother❤
@DivyaSree-410
@DivyaSree-410 4 месяца назад
Such a nice content brother .iam a 2024 passed out btech so i want to go with VLSI So i wiil start by u r guidance thank you brother and one more please provide the notes if u havee
@yashwantht5224
@yashwantht5224 2 года назад
@whyRD please re-upload this video again we are facing the issues. Great content always willing to see the video and follow the instruction by you.
@whyRD
@whyRD 2 года назад
hi can you please explain the issue ?
@jagatpatiraiguru9806
@jagatpatiraiguru9806 Год назад
Thank You WhyRD ...very nice way you have described the directions to learn Verilog ...Thanks for sharing ..Keep It up
@coding_vlsi_vietnam
@coding_vlsi_vietnam 2 года назад
Verilog, sv, vhdl are interesting but VLSI is not popular as python, javascript so if you buid a youtube channel about vlsi i think that it 's difficult to approach audicine. Anyway your channel is very helpfull 😁
@whyRD
@whyRD 2 года назад
So my task is make it popular 😀
@whyRD
@whyRD 2 года назад
So my task is make it popular 😀
@sebastinraja5149
@sebastinraja5149 Год назад
Hi Raj,Please make a video for post silicon validation engineer role and interview preparation strategies.
@Prateek_61
@Prateek_61 2 года назад
Great content as always
@divyanityagi
@divyanityagi 2 года назад
Sir one of my friend have done btech in ECE in 2018. Since then he was preparing for UPSC but couldn't clear.Now he want to join VLSI industry, well his education gap has any effects.
@whyRD
@whyRD 2 года назад
If he is positive about his gap, clearly mention it on CV then this gap wont create much issue.. Tell him to explore eda tool, few simple projects and to accelerate things he can do a 6 month VLSI training from good institute
@marwanal-yoonus280
@marwanal-yoonus280 Год назад
Dear Sir Thank you very much for this helpful video Please, I try to write the following Verilog code in Vivado, the synthesis process is OK but when I want to implement it an error signal appear !! module Tog_not (hsync, EOL, q); input hsync, EOL; output reg q; always @ (posedge hsync) begin q
@raspberrypi5415
@raspberrypi5415 2 года назад
Sir, will you recommend studying from book Verilog HDL: A Guide to Digital Design and Synthesis by Samir Palnitkar?
@whyRD
@whyRD 2 года назад
yes definitely, if you have time
@zaidrehman6797
@zaidrehman6797 Год назад
VHDL or verilog which I should go for as a beginner
@kadajaganmohanachari2010
@kadajaganmohanachari2010 2 года назад
can you please tell the best platform for learning digital electronics
@whyRD
@whyRD 2 года назад
Let me search for the best resources
@Gurumurthy
@Gurumurthy 2 года назад
Hello sir! It was a good informative video. I have one doubt, will freshers get refferal by employees in analog vlsi domain
@whyRD
@whyRD 2 года назад
yes , if you CV is good enough
@kartiii_45
@kartiii_45 2 года назад
Bro having digital electronics and verilog knowledge is enough for cracking interviews or anything more we have to do as newbies to the industry
@whyRD
@whyRD 2 года назад
basic analog ckt knowledge also important, and advanced digital electronics (digital ic design) also required for front end , with a little communication/ presentation skill
@rtk8395
@rtk8395 Год назад
RD sir, please tell me the roadmap to learn VHDL
@Deepak-yg6il
@Deepak-yg6il Год назад
Hello Rajdeep Iam, confusing write now, for choosing carrier in IT or Vlsi
@whyRD
@whyRD Год назад
No issue , choose any spend 1-2 year , if you like it great else chose the other one
@rakeshmahapatra5456
@rakeshmahapatra5456 Год назад
Sir, kindly share Where to learn system verilog? Any videos reverence
@dn2358
@dn2358 2 года назад
Thanks for sharing
@dewakumar3907
@dewakumar3907 Год назад
Sir , I'm doing M.Tech in communication system but if I learnt good knowledge of digital and verilog ,can I get a job at vlsi domain ? Is it possible?
@bpallavi5748
@bpallavi5748 Год назад
Hello sir,can you please write verilog for matrix based error detecting and correction
@SoubhagyaM-l3z
@SoubhagyaM-l3z 7 месяцев назад
Currently i am doing mtech in vlsi and embedded system. Can u tell me other than academic wt i can do to start my career in vlsi and embedded system
@SoubhagyaM-l3z
@SoubhagyaM-l3z 7 месяцев назад
It will help me alot
@raishydermirza110
@raishydermirza110 2 года назад
Hi sir... Your content is just amazing as you... Sir I'm present in 3rd year I want to do internship in VLSI domain after few months so sir pls suggest any industries to do internship in offline... 🙏
@whyRD
@whyRD 2 года назад
Hi early next year keep a eye on all career sites of companies to see internship openings
@raishydermirza110
@raishydermirza110 2 года назад
@@whyRD Thank you sir👍❤
@PrashanthsVlog
@PrashanthsVlog Год назад
What is the website your using for writing code? Please anybody answer this
@eee-107surendrareddy7
@eee-107surendrareddy7 15 дней назад
XILINX VIVADO software EDA playground (online website)
@danish6813
@danish6813 Год назад
Brother can u suggest some really good projects based pn verilog..
@anilrella4016
@anilrella4016 2 года назад
Bayya I want ` time scale concept when 1ns/1ps why we multiply that value 1000
@s.ganeshgowtham1392
@s.ganeshgowtham1392 Год назад
Hello Sir .When I went through verilog lecture in nptel course of iit kharagpur.I am feeling difficulty in making notes .Could you please guide
@whyRD
@whyRD Год назад
Can you please elaborate , more ? what i used to do was taking a screenshoot of every ppt , and after each episode written summer discussed on that slide ... and yes i dont have those notes now :(
@hammadmmohammed2496
@hammadmmohammed2496 2 года назад
Great content 🥰but Can u improve ur microphone
@whyRD
@whyRD 2 года назад
i agree , i need to improve it
@durgaprasadmaddala3727
@durgaprasadmaddala3727 2 года назад
Bro, did you taken any training from any vlsi institute ,during your btech or mtech level ?
@whyRD
@whyRD 2 года назад
If you doing mtech from Top tier, you don't need to take any training and also if you did only BTech with full dedication no need for any training.... Yes I never took any trainings
@durgaprasadmaddala3727
@durgaprasadmaddala3727 2 года назад
@@whyRD but nowadays all companies are hiring only trained freshers ..right sir
@whyRD
@whyRD 2 года назад
Not likely, if it's after Btech from 3rd tier then training gives edge over other....
@durgaprasadmaddala3727
@durgaprasadmaddala3727 2 года назад
@@whyRD thanks bro for ur reply
@animestalker7485
@animestalker7485 Год назад
Xilinx is paid or free ?? Plz ans
@borkaranish6034
@borkaranish6034 Год назад
Can you please help me with physical design roadmap?
@whyRD
@whyRD Год назад
hmm , love to let me see if i can
@bhimashankarkattimani7074
@bhimashankarkattimani7074 Год назад
What will be the verilog programmer salary
@heyakilan
@heyakilan Год назад
Hello bhaiya ,i m going to 3 rd year now ,and i comfused Which language what i want to study for vlsi job verilog or python please clarify me
@whyRD
@whyRD Год назад
Defined priority is on verilog
@heyakilan
@heyakilan Год назад
@@whyRD thanks bhaiya 👍
@Adityaa756
@Adityaa756 Год назад
Sir please provide the digital module notes
@DanishMalik-my6li
@DanishMalik-my6li 2 года назад
Hi I have completed my B.E this year and am looking for VLSI jobs. Can you please help me where to find VLSI jobs for freshers because most of the openings in this domain requires experience!
@whyRD
@whyRD 2 года назад
you need to visit career site of all companies and filter the job as entry level
@begumzumana5304
@begumzumana5304 2 года назад
Can a btech electronic instrument engg get into vlsi industry
@whyRD
@whyRD 2 года назад
yes for sure
@sudhanshu8023
@sudhanshu8023 2 года назад
Thank you so much sir
@yogabharatpattila5690
@yogabharatpattila5690 2 года назад
Bro.. Please suggest the approach to become verification engineer in vlsi industry
@whyRD
@whyRD 2 года назад
Please see my last video how to select VLSI profile
@tarunachievers778
@tarunachievers778 2 года назад
Daily r u going to post verilog tutorial series for 30 days?...
@whyRD
@whyRD 2 года назад
nice idea , but as of now not , will be starting with trending interview questions
@tarunachievers778
@tarunachievers778 2 года назад
Awesome👍👏
@rohithy61
@rohithy61 2 года назад
This is for btech or mtech level???
@whyRD
@whyRD 2 года назад
Useful for both
@ankitaghoshal6009
@ankitaghoshal6009 Год назад
Bro are you Bengali?
@whyRD
@whyRD Год назад
Yes 😀
@abhisheksaraswat5708
@abhisheksaraswat5708 2 года назад
Thanks a lot sir
@anandbvs143
@anandbvs143 Год назад
Excellent
@collegecareeroption2220
@collegecareeroption2220 2 года назад
Bhaiya verilog kaha se complete kru
@tejpratapsingh401
@tejpratapsingh401 2 года назад
can u plz suggest some websites or links to make vlsi projects for mtech level
@whyRD
@whyRD 2 года назад
ieee explorer is the best option , i have also made one video about how to use , seatch start vlsi project whyrd
@tejpratapsingh401
@tejpratapsingh401 2 года назад
@@whyRD thanks a lot
@sharad_verma_18
@sharad_verma_18 2 года назад
Thanks sir!
@sreelakshmip736
@sreelakshmip736 Год назад
Thanks sir🙏
@vinaymotupalli3616
@vinaymotupalli3616 2 года назад
Great content , and sir can you please give a roadmap to select projects related verilog for job opportunities
@whyRD
@whyRD 2 года назад
yes ,will attempt this in future,thanks for the suggestion
@gurudathkm4280
@gurudathkm4280 2 года назад
Sir, please do the same for sv and uvm also.
@whyRD
@whyRD 2 года назад
I need to explore this side of VLSI design
@amankatiyar923
@amankatiyar923 2 года назад
@punithgowdap588
@punithgowdap588 2 года назад
Can I become a vlsi engineer if i am persuing electronics and telecommunication
@whyRD
@whyRD 2 года назад
yes yes yes
@punithgowdap588
@punithgowdap588 2 года назад
@@whyRD thanks
@cod4816
@cod4816 2 года назад
Studying ME vlsi design in Anna university CEG, how can I get into intel ?
@whyRD
@whyRD 2 года назад
Do intel visit your campus if not you need to apply through offline mode, imply visit career site of intel
@cod4816
@cod4816 2 года назад
@@whyRD thanks sir 😊
@mohangballekattappa5202
@mohangballekattappa5202 2 года назад
TQ🙏
@nitishvashishth9
@nitishvashishth9 2 года назад
i want to connect with you on linkedin
@whyRD
@whyRD 2 года назад
please type you linkedin profile here , will send a connection request
@jam2347
@jam2347 2 года назад
Sir, can we know like what u actually do in Intel i mean ur job related.?
@whyRD
@whyRD 2 года назад
I try to explain, in previous oifew videos I have explained in general and future too will try... Exact details I can't share as it's all confidential
@jagadeeshk1606
@jagadeeshk1606 2 года назад
1st like and comment
@whyRD
@whyRD 2 года назад
likes and comments are the main motivating factor, which let me know i am making a difference, thank you jagadeesh
@jagadeeshk1606
@jagadeeshk1606 2 года назад
Yes bro u r always makes the difference to the other youtubers. u r providing good content for sure and it is very helpful to the upcoming visi engineers like me. Thank you
Далее
basics of CODING in 10 minutes
15:34
Просмотров 1,5 млн
Angry bird PIZZA?
00:20
Просмотров 7 млн
The best way to start learning Verilog
14:50
Просмотров 132 тыс.
Getting Started with Verilog
37:40
Просмотров 101 тыс.
1. What is Computation?
43:06
Просмотров 1,9 млн
Angry bird PIZZA?
00:20
Просмотров 7 млн