Тёмный

What Goes On Inside a Semiconductor Wafer Fab 

Asianometry
Подписаться 681 тыс.
Просмотров 190 тыс.
50% 1

Sign up for the AI and Symposium event and I hope to see you there: www.eventbrite.com/e/ai-and-s...
Links:
- The Asianometry Newsletter: www.asianometry.com
- Patreon: / asianometry
- Threads: www.threads.net/@asianometry
- Twitter: / asianometry

Опубликовано:

 

2 июл 2024

Поделиться:

Ссылка:

Скачать:

Готовим ссылку...

Добавить в:

Мой плейлист
Посмотреть позже
Комментарии : 421   
@Asianometry
@Asianometry 10 месяцев назад
Sign up for the AI and Symposium event and I hope to see you there: www.eventbrite.com/myevent?eid=692838296997
@gackerman99
@gackerman99 10 месяцев назад
do one in Silicon Valley!
@Nobe_Oddy
@Nobe_Oddy 10 месяцев назад
secret guest?!?!? wait... you're having Dr. Cutress??? LOL Just a guess :)
@top6ear
@top6ear 10 месяцев назад
If you want to do a killer podcast do one about the birth and death of Nortel. I use to work there.
@sedrakpc
@sedrakpc 10 месяцев назад
Meet up in New York area one day?
@DavidHembrow
@DavidHembrow 10 месяцев назад
Another encouragement to travel during a climate emergency? No thanks.
@JoeMama-di5xo
@JoeMama-di5xo 10 месяцев назад
i think he likes semiconductors but idk
@irreview
@irreview 10 месяцев назад
We all do.
@BlckJack123
@BlckJack123 10 месяцев назад
Really? I wouldn't have guessed.
@highbread817
@highbread817 10 месяцев назад
I like the stocks
@okman9684
@okman9684 10 месяцев назад
He lives semiconductor
@gaussdog
@gaussdog 10 месяцев назад
Semiconometery
@sealpiercing8476
@sealpiercing8476 10 месяцев назад
Cleaning is underrated as one of the miracles taking place in a fab. All wet chemicals including the photoresist leave behind insane messes relative to the needed cleanliness, and the specs for how clean the wafer has to be, esp in terms of functionally invisible nanoparticles are demanding. It almost beggars belief that it is possible to adequately clean a wafer once, much less hundreds of times in the course of making whichever chip.
@Grak70
@Grak70 10 месяцев назад
The fact that photo rework works at all is almost as much of a miracle as lithography itself.
@xxportalxx.
@xxportalxx. 10 месяцев назад
​@Grak70 the fact that any of it works is a miracle, and in fact most of these processes are constantly balancing on a knife edge of total failure.
@Retrofire-47
@Retrofire-47 10 месяцев назад
@@xxportalxx. and yet they are accomplished on a massive scale with almost no failure - machines
@TheEvertw
@TheEvertw 10 месяцев назад
@@Retrofire-47 Eh, no. There is a considerable rejection rate: IC's that simply do not function as a result of minute errors or contaminations. That is why testing is so important. If all were perfect, no testing would be necessary. These machines are not perfect, they are good enough to make money with them.
@xxportalxx.
@xxportalxx. 10 месяцев назад
@TheEvertw yeaaaah, there's also a lot of what's called 'inked out' die (I think at some point in history this involved literally inking out a region of the photomasks or something along those lines, however these days it's just logged in the computer tracking system). This is done for various reasons but most often for particles, it's basically impossible to avoid particle damage completely so there's always regions inked out for them. For instance Epi will grow flakes in the reaction chamber that will fall off onto the wafer (there's also various stacking faults and growths), and implant will form like sedimentary buildup in the beamline that can chip off and hit wafers or get exploded by the high voltage electrodes/turbos/beam itself and shotgun the wafers. As for whole wafer scrap I've seen robots fail and up and literally just chuck wafers at walls and floors lol, you can etch a wafer too long if something fails and literally dissolve the whole thing, a clamp can chip a wafer which will cause it to explode under the pressure in chemical-mechanical-planarization, voltage sags in storms cause numerous fail modes including tools dumping vacuum and just dusting wafers (an utter nightmare to clean up!), temp probes can fail causing wafers to accrue stress fractures and later shatter randomly (hard to track down to root failure btw), the list goes on!
@andrewvenor8035
@andrewvenor8035 10 месяцев назад
I have been in the semiconductor industry for 25 years, and I have to say that this video is one of the best layman's explanations of what goes on in the fab I have seen. I'm going to share this with anyone who asks what I do for a living. I also liked the nod to Arthur Miller. 😉
@millieblack2684
@millieblack2684 7 месяцев назад
I want to do HR in this field. Would you be able to share any insight you have on the hiring side from actually working in the space?
@Chessman27
@Chessman27 7 месяцев назад
I just finished the Nanomanufacturing and Microelectronics program last Friday. I'm currently looking for a company to start my career with. TEL, KLA, Micron, and Wolfspeed were some of the companies that gave us a presentation on what to expect with their company. Do you have any suggestions to be successful in this semiconductor industry?
@cakesama9770
@cakesama9770 4 месяца назад
Would you be open to an exploratory interview about the industry? I have this one project for this class you contributions would be helpful
@Ansset0
@Ansset0 10 месяцев назад
As a physicist and aviation tech inspector, I have to say: chapeau bas! My deepest respect for translating these processes to the masses.
@andyargentina7056
@andyargentina7056 10 месяцев назад
As a baker and father of three, I have to say: Omlette du fromage!
@bebokRZly
@bebokRZly 10 месяцев назад
@@andyargentina7056 As a Dexter Cartoon fan, I have to say: Omlette du fromage
@Ansset0
@Ansset0 10 месяцев назад
@@bebokRZly Dexter 😁
@ebx100
@ebx100 10 месяцев назад
Mais oui!@@andyargentina7056
@PaulGrayUK
@PaulGrayUK 10 месяцев назад
Aviation inspection I'm sure has some interests that would make a good video if the subject piques Asionometry's interest, which is wide (I know from oil rigs that insurance dictates many standards and QA checks, almost drive things in some industry more than I'd appreciated). Might explain how he manages to work 27 hours a day!
@alexlefevre3555
@alexlefevre3555 10 месяцев назад
I've watched a lot of videos on top of a lot of my own research as fabs have found themselves so incredibly complex. That being said, this video checked all the boxes and is such an awesome resource of information in one place. A banger as always!
@brodriguez11000
@brodriguez11000 10 месяцев назад
Advanced packaging is where some of the next development is going on.
@johnforguites4800
@johnforguites4800 10 месяцев назад
you've just described most of my working life in 21 and half minutes! Good job!
@kayakMike1000
@kayakMike1000 10 месяцев назад
Is it a reasonable job?
@ntabile
@ntabile 10 месяцев назад
​@@kayakMike1000If you like wafer fab mfg. , withstand stress as some of us works 12hrs a day, in 3/4 or 4/3 a week, 2-3-2 pattern and willi g to work changing shift, day or night pattern.
@johnforguites4800
@johnforguites4800 10 месяцев назад
@@kayakMike1000 pretty much. I found it interesting as an operator, a technician, and as an engineer! The hours can be odd, if you're involved directly in manufacturing. A great deal depends on the culture of the company. My viewpoint is from US semiconductor companies.
@applesb3507
@applesb3507 10 месяцев назад
​@@johnforguites4800shoveling a lot into a machine and wait for 60 minutes and then shovel it to another machine sure is the funniest job
@JJRicks
@JJRicks 10 месяцев назад
​​@@ntabileSounds like an Intel shift schedule 👀 I used to be a contractor based in 42. Fun times!
@frederikvanstolk5815
@frederikvanstolk5815 10 месяцев назад
Two minor corrections: * The source and drain are both doped with either n-type or p-type impurities. It is the channel below the gate that gets doped with impurities of the opposite types. * It's pronounced an-isotropic, not anise-o-tropic.
@JoeLion55
@JoeLion55 10 месяцев назад
Came to say the same thing… for an NMOS transistor, both the Source and Drain are doped with N-type dopants, while the body (where the Source and Drain sit, and where the channel is formed) is a P-type well (or more likely the entire substrate is doped to be P-type). In a PMOS transistor, everything is opposite. The body is a large N-well, and the Source and Drain are small P-type implants. The source and drain are always the same doping type, and the gate voltage induces a channel between the S and D of the same type, to complete the circuit
@lensmann4002
@lensmann4002 10 месяцев назад
Seeing all these steps that go into making a silicon chip makes you realize the marvel a silicon chip really is. Just think of all the silicon chips that over the decades were thrown out and ended up in landfills (broken computers, electronic toys with chips in them, outdated washers and dryers, junk cars with chips to regulate fuel consumption and smog control, etc.) Its like throwing away top of the line Swiss watches.
@justathinker8669
@justathinker8669 10 месяцев назад
Was just thinking the same thing. We should repurpose all those chips and keep using till they die 😂😂😂
@thorin1045
@thorin1045 10 месяцев назад
we do that every day, the five dollar normal watch you through out instead of changing the battery is as good as those swiss watches, just not made by hand and plastered with brand names to make them worth thousand times more than they are actually worth.
@lensmann4002
@lensmann4002 10 месяцев назад
I must politely correct you on one point, I do indeed replace the batteries on my watches when needed. I believe in keeping a watch until the coil goes bad, and the cost of repair exceeds the value of said timepiece.@@thorin1045
@DaveKeil
@DaveKeil 10 месяцев назад
16:00 - awwwhhh.... you missed the coolest part of that process! It doesn't 'just eventually happen'. It waits until the place is struck with a cosmic ray passing through and igniting the plasma. Yes, we literally set the thing up and wait for the universe to start the process for us.
@blackmatca6277
@blackmatca6277 3 месяца назад
Is that for real? 🤯
@WilliamStrealy
@WilliamStrealy 3 месяца назад
No way, do you have a source for this? This might be the coolest semiconductor manufacturing factoid I've ever heard if true.
@simonedigiuseppe1022
@simonedigiuseppe1022 10 месяцев назад
One special mention for the metrology and the defectivity control tools also. Important, if not fundamental throughout all these complex steps!
@mekky3504
@mekky3504 10 месяцев назад
Wake up babe new Asianometry video dropped
@SuperCuriousFox
@SuperCuriousFox 10 месяцев назад
This was a great video! As an engineer responsible for lithography in our cleanroom, I’d like to add that after exposing photoresist (and usually after performing the post exposure bake) the waters are bathed / sprayed with chemicals called “developers”. Basically like developing photos from camera’s before the digital age. This development is an important factor in controlling the critical dimensions (CD) of patterns in the resist. You’re essentially dissolving part of the resist to open it up for etching after all! Looking forward to future videos about plasma tools, there’s a lot of fascinating physics involved in both deposition and etching.
@CRneu
@CRneu 9 месяцев назад
I work in CD-SEM. what's up buddy!? lol
@PeculiarNotions
@PeculiarNotions 10 месяцев назад
I always appreciate that you got jokes. Even if you did the whole video for that joke at the start, it'd be worth it, and I'd watch the whole thing.
@drewmqn
@drewmqn 10 месяцев назад
I'm in awe at the complexity and precision of the processes presented. Just a slight change in presentation style and I would easily think this was all sci-fi techno babble.
@popquizzz
@popquizzz 10 месяцев назад
I would love to see a video on the next step in the process, the science and application of packaging, testing, and binning.
@Padoinky
@Padoinky 10 месяцев назад
This is an excellent tutorial on what is a hugely complex process in an even more complex industry
@oakbeck
@oakbeck 10 месяцев назад
Great video, it’s difficult to cover the full scale of a fab in a short video, but you did a nice job. One minor correction at 17:32. A planar MOSFET drain and source will both be doped the same (N or P type), not differently, while the channel will have the opposite doping. When voltage is applied to the gate an inversion layer is created in the channel that allows the FET to conduct.
@Danji_Coppersmoke
@Danji_Coppersmoke 10 месяцев назад
Thanks for info. Source, Drain = same dope (P or N). Under gate = opposite dope (N or P)
@leonidasg2257
@leonidasg2257 10 месяцев назад
Yea I was surprised with that too, first lesson into mosfets and our professor told us a MOSFET is a symmetrical device and the Source and Drain depends on the voltages we apply (contrary to a BJT trasistors which is totally an assumetricla device and has to be connected in a specific way).
@titusjames4912
@titusjames4912 10 месяцев назад
Came across your channel maybe 2 years ago. I am now working in tool installation at a big semiconductor company in the US. My hope is to go to college and one day learn how to use the factories I am helping to build. The more information I have the more valuable I become. For someone who has been a little too specialized in the industry this all around video is very helpful. Thank you so much!!!
@ColonelKlinck
@ColonelKlinck 10 месяцев назад
This channel deserves subscribers in the millions. It really is incredible content.
@Gameboygenius
@Gameboygenius 10 месяцев назад
It'll come. He's halfway there.
@sapiosuicide1552
@sapiosuicide1552 10 месяцев назад
Amazing channel. I'm liking the humor you're starting to introduce as well, makes it even more engaging and enjoyable to watch, almost reminds me of Patrick Boyle with his mixture of dry humor and legit analysis of a complex topic
@unexpecteditem7919
@unexpecteditem7919 10 месяцев назад
Finally a full explanation that isn't a really vague marketing video, or shitty voiceover read from Wikipedia. This is ace!
@selewachm
@selewachm 10 месяцев назад
We retired to Lincoln, TX from the Houston area. North of Austin is a town named Taylor. We were coming back home after going to Austin and south of Taylor I noticed a huge construction project. Dozens of cranes, building the size of a couple Amazon distribution centers. Got home and checked Google Maps. Well the photo still showed farmland but there was pin for "Samsung". They're in the process of building a fab there. Then driving SE I noticed a pipeline being built. Turns out water rights were purchased from ranchers, etc 50 miles east and they'll be pumping it to Austin/Taylor area. Fabs require huge amounts of water. Oh, and we're in extreme drought.
@TAP7a
@TAP7a 10 месяцев назад
It beggars belief that fabs were even permitted to be considered in places like Texas and Arizona. Like I'm sure the tax situation isn't as unnecessarily favourable in, say Michigan, Ireland, Scotland, Denmark, Ottawa or anywhere on South Island NZ, but all of those other places have water, energy, educated populations and government support out the wazoo, why are the most geographically insane locations being considered over these intuitively easier ones? Is it exclusively tax breaks?
@ntabile
@ntabile 10 месяцев назад
@TAP7a Yeah, why then that these 2 states were favored to have those new fabs build over there?
@Joe_VanCleave
@Joe_VanCleave 10 месяцев назад
@@ntabile Tax breaks as inducements for building a fab in a state are a major reason, along with an educated workforce.
@DavidHalko
@DavidHalko 6 месяцев назад
⁠@@TAP7a- Austin Texas had fabs there, for decades. People build fabs where existing workforce exists, so one does not need to import educated workforce from all over the place (very expensive.) Education is important, so is experience. A child growing up, hearing the war stories from their parents of early clean rooms, will have likely received a substantial informal education base that is intangibly important while layering on new technologies.
@coyotebebop3984
@coyotebebop3984 10 месяцев назад
I appreciate the balance of informative and entertaining you're striking with videos like this one, explaining otherwise complex processes while still staying true to the science. Being a former drama nerd, I also had a good laugh at your Crucible joke!
@guyguy463
@guyguy463 10 месяцев назад
You sorta glossed over Metrology. You should really do a separate video in that. They do some pretty crazy stuff to make sure the tools are running on-target and that the layers are built properly
@Evergreen64
@Evergreen64 10 месяцев назад
With all the expensive equipment involved at each step of the process, it's a wonder that most complex chips are really quite cheap when you think about it.
@KarrasBastomi
@KarrasBastomi 10 месяцев назад
The beauty of economic of scale.
@unreliablenarrator6649
@unreliablenarrator6649 10 месяцев назад
You missed: wafer ingot growing, sawing, polishing & doping (other than SiO2 growth); annealing is not merely "repair", more importantly it is recrystallization to improve bulk properties; many significant tool vendors (significantly non-Japanese) were omitted but points for including SMEE (I guess a profilenof them is coming).
@DaveKeil
@DaveKeil 10 месяцев назад
15:16 - we used to pronounce that an-iso-tropic, because it's the opposite of isotropic. The world may have changed in 2 decades, but thought I'd mention it
@punditgi
@punditgi 10 месяцев назад
Amazing channel with top notch videos. Always learn a ton every time. We sure appreciate chips a lot more than most of us used to. 😊🎉
@user-xn7wz5rn8e
@user-xn7wz5rn8e 10 месяцев назад
Love the videos! As a Manufacturing Tech, this video is as accurate as it gets. Manufacturing CPUs is an increasingly tough and expensive task.
@nickj2508
@nickj2508 10 месяцев назад
very good. Note: 9:10, 16:56 more so Orbotech that was merged by KLA, 9:37 for advanced nodes Quartz or other even more lower expansion glass is used.
@OzMat
@OzMat 10 месяцев назад
Someone was always going to point out the obvious.
@nickj2508
@nickj2508 10 месяцев назад
@@OzMat Someone was always going to make an annoying replay
@OzMat
@OzMat 10 месяцев назад
@nickj2508 Yes. My attempt at humour was poorly written. I have a lot of respect and admiration for anyone who has the intellect to understand the whole Semiconductor bizzo. So mate, from a construction worker in Australia, have a good day. 👍
@13minutestomidnight
@13minutestomidnight 8 месяцев назад
Thankyou so much for this. I know nothing about semiconductors and this was a great overview of their manufacturing that was straightforward and easy to understand even for someone like me. Awesome job.
@MrNobody-bv4ec
@MrNobody-bv4ec 10 месяцев назад
I randomly stumbled onto your channel and have been hooked ever since. I know so little about most of what you cover but you do so well in breaking everything down and the topics themselves are interesting and it's not stuff i'd ever expect to be interested in! Keep up the great work!
@DaveKeil
@DaveKeil 10 месяцев назад
21:20 - no subvia? No Rel and PCM test? No dicing? Man... those guys always get left out of the story. Great work! Excellent video.
@ralanham76
@ralanham76 10 месяцев назад
He has A LOT of videos on ic. ru-vid.com/group/PLKtxx9TnH76QY5FjmO3NaUkVJvTPN9Vmg
@ZeLoShady
@ZeLoShady 10 месяцев назад
Somebody works in dicing
@adambalapatel
@adambalapatel 10 месяцев назад
i hope you know there’s a group of fashion obsessed gen z kids who religiously watch and discuss your videos
@safes9571
@safes9571 10 месяцев назад
Here for that, I may not understand everything about semiconductors but it really peeks my interest how tiny ass chips work
@Gameboygenius
@Gameboygenius 10 месяцев назад
Waaaait... Care to elaborate?
@adambalapatel
@adambalapatel 10 месяцев назад
@@Gameboygenius so, like 12, 18-25 y/o fashion entrepreneurs/executives/designers and students have a group chat where we religiously talk about the videos it’s so much fun
@Gameboygenius
@Gameboygenius 10 месяцев назад
@@adambalapatel I'm surprised but glad to hear that. Makes me think there's hope for this world.
@adambalapatel
@adambalapatel 10 месяцев назад
@@Gameboygenius lmao we’re just silly little guys having silly little fun
@DaveKeil
@DaveKeil 10 месяцев назад
2:56 - an image made through lithography is called a lithograph. I believe you'd want to say *... The lithographed image ....". But, really, I'm sure everyone gets it. Worked in a HBT GaAs Fab 20 years ago, this series is very nostalgic for me. Keep up the good work.
@alainpannetier2543
@alainpannetier2543 10 месяцев назад
Lithography is the result of applying an inked stone matrix on a sheet of paper or similar. Then you also have xylography if the matrix is made of wood, or chalcography if the etched matrix is a copper plate. So maybe we need a word like phanography if the etching medium is a type of lamp.
@DaveKeil
@DaveKeil 10 месяцев назад
Good point. Photolithographed then?
@alainpannetier2543
@alainpannetier2543 10 месяцев назад
@@DaveKeil sounds good. Where is the stone though (Gr: lithos)?
@DaveKeil
@DaveKeil 10 месяцев назад
@@alainpannetier2543 - Huh. Never thought about it before, but the reticles are made of Quartz (if I recall right, or were) so they're in the stepper. Damn, maybe the whole thing isn't just some weird unfathomable naming convention the industry latched on to and ran with!
@burtdanams4426
@burtdanams4426 2 месяца назад
I know all of these semiconductor topics you cover are generally extremely complex and advanced, but plasma etching is another one for these 'tertiary' technologies that is still just mind-blowing to behold
@carlcrawford161
@carlcrawford161 10 месяцев назад
I have been watching your videos since 2018. This really bought together many different concepts from past videos, I was able to understand everything in this video. Thank you.
@brothergrimaldus3836
@brothergrimaldus3836 10 месяцев назад
15:00 "...but here it creates a loss of resolution, and sadness for the customer." I don't know why I found that so funny, but I almost dropped my phone.
@DaveKeil
@DaveKeil 10 месяцев назад
9:15 - yeah, at some point we lost the somewhat important point that it's called photolithography, and it's colloquially abbreviated to just lithography, or litho. The IC industry, and fabs especially, abrev everything. After I left Nortel HPOCS, where I made GaAs SAGFETS and HBTs in as a sustaining engineer for tfdep and litho, it took a few months to sort out a good answer to the question 'what did you do there' in a way that someone who didn't work with me could understand.
@ducphamminh4691
@ducphamminh4691 10 месяцев назад
2:35 When the cake shown up, I chuckled a little bit. That was the exact comparison I used while training new physical design engineers, on how to imagine what a chip would look like.
@sirmingusdewiv8325
@sirmingusdewiv8325 10 месяцев назад
You make a conductor, then cut it in half.
@badrinair
@badrinair 10 месяцев назад
thank you much for the video. i had to go back and forth many times to keep up with the information flow. Making the entry free for the Symposium shows good intentions. WIsh I was in taipei, unfortunately I am very far away in IRL .
@hollywoodjim
@hollywoodjim 10 месяцев назад
I watch your channel to make me feel smart. If I went to that event I would be the dumbest person in that entire pavilion 😮
@adamh1228
@adamh1228 3 месяца назад
wow. that "standing wave effect" shot and section is stunning!
@uwezimmermann5427
@uwezimmermann5427 10 месяцев назад
As usual a highly educative video and a nice summary in a humorous way. However, there are some errors in the video which make me hesitant to recommend it to my students. Among other things the source and drain in a given transistor have the same type of doping - it is the channel which has the opposite doping.
@colinstu
@colinstu 10 месяцев назад
15:28 I love how that's running Win 3.1x or NT 3.x
@dianapennepacker6854
@dianapennepacker6854 10 месяцев назад
Joke went over my head... Can someone let me into the loop? Damn reminds me of the old fridge prank call joke.
@cvonp
@cvonp 9 месяцев назад
Huge respect for TSMC and Taiwan in general. I was very fortunate to travel there twice as a Singapore-based AE for Chartered Semiconductor in the early 90s. Someday I hope to return and see more than just greater Taipei 😉
@tommy2cents492
@tommy2cents492 10 месяцев назад
Nice! What you missed... or deliberately omitted since technically it does not contribute directly to the manufactoring process... is metrology. Many wafers are partially measured to ensure that the dufferent layers stack up like straight buildings, and all patterns have the same size. Several control loops are present to optimize quality (e.g expressed as the final number of yielding dies). The holes in the floor are to ensure a net downflow to prevent particles from swirling around. That's also the reason that running in a fab is not allowed...!
@techman2553
@techman2553 10 месяцев назад
I've watched a lot of your videos and absolutely love them ! I've listened to you describe the countless cutting edge processing steps that pushes the boundary of our ability to understand and manipulate physics, and it all makes logical sense. But for the life of me, there is still one processing step that I just can't wrap my brain around: The saw. How on earth do they precisely saw the ingots into wafers and how are the chips precisely cut out of the wafer ?? - It's seems like such a simple thing in concept, but I just can't imagine how it is done with such precision. It would interesting to hear about that piece of the puzzle, the equipment that is used, who manufactures the equipment, etc. Is it challenging, or is it pretty straight forward ?
@mikechaplin1566
@mikechaplin1566 10 месяцев назад
I'm not sure about how wafers are sawed from the ingot, but the chips are cut out of the wafer using a laser, historically a saw was used, but laser is a more recent development.
@Mueller3D
@Mueller3D 6 месяцев назад
It's not the case that ingots are sawed right into perfect wafers. After being cut, the wafers are lapped and then polished. In fact, there are typically several polishing steps that take place during IC production. That's because the removal of material during lithography and etching creates uneven surfaces, but later lithography steps require a smooth flat surface, so the wafer must be "re-planarized" at various points. As far as separating the wafer into chips, there are different methods: scribing and breaking, sawing, or laser-cutting.
@classiclibertarian
@classiclibertarian 10 месяцев назад
I love this channel. The depth of your research is impressive. Thank you for your hard work!
@robert-wr9xt
@robert-wr9xt 10 месяцев назад
This is the most informative a RU-vid channel in existence. Share with your children or nieces and nephews. This IS THE FUTURE!
@anthonycleveland1514
@anthonycleveland1514 10 месяцев назад
Nothing more exciting than a new Asianometry video
@41chemist19
@41chemist19 10 месяцев назад
I think he was hungry when writing this script. A solid third of the run time is junk food analogies. Great video as always
@alainpannetier2543
@alainpannetier2543 10 месяцев назад
Lithography is a word but it has to do with a _stone_ matrix. Then you also have xylography if the matrix is made of wood, or chalcography if the etched matrix is a copper plate. So maybe we need a word like phanography if the etching medium is a type of lamp.
@ciCCapROSTi
@ciCCapROSTi 10 месяцев назад
Dude I'm working for ASML (second hand) and I learned more from your videos over time than from their teaching vids. I can basically bill watching your vids as work.
@LordMarcus
@LordMarcus 10 месяцев назад
We, all of humanity, rely on these processes for literally everything now and holy shit this is fucking insane.
@peterpepper1995
@peterpepper1995 10 месяцев назад
Excellent video as always!
@sirmingusdewiv8325
@sirmingusdewiv8325 10 месяцев назад
So you watched a 21min video in 3min?
@DB-xx6gq
@DB-xx6gq 10 месяцев назад
Love your videos. Keep up great work. Few suggestions on lithography part of this video. 1. You forgot to mention developer step. 2. Post expose bake and hard bake are 2 separate bake steps separated by develop step. I know you were trying to keep this video simple but these are important and you go into much more detail in other manufacturing areas. Thanks again for all you do
@johnjacobjinglehimerschmid3555
@johnjacobjinglehimerschmid3555 10 месяцев назад
Another great video for those not familiar with the industry. Nice work!
@KieranFoot
@KieranFoot 10 месяцев назад
I love your videos, great content, and well delivered.
@Embassy_of_Jupiter
@Embassy_of_Jupiter 10 месяцев назад
Do a video about polycrystalline diamond. It's a mind-blowingly amazing material.
@sidewinder3422
@sidewinder3422 10 месяцев назад
As a Software Developer, I can't imagine the level of complexity that goes into all the software in the entire semiconductor process, I'm probably too dumb for that lol.
@rikkey3k
@rikkey3k 10 месяцев назад
Great Video ! I'd like to also see the equipment used to test the wafers.
@rollinwithunclepete824
@rollinwithunclepete824 10 месяцев назад
A really informative video, Jon! Thank you
@Anolaana
@Anolaana 10 месяцев назад
I'm glad I watched this during my dinner, with all the food analogies!
@bedhedd
@bedhedd 10 месяцев назад
Wow the animations/visuals were great for this video
@fffUUUUUU
@fffUUUUUU 10 месяцев назад
0:41 you better blur your face if you prefer to stay incognito LOL 😆
@chyldstudios
@chyldstudios 10 месяцев назад
You make the best videos!
@roswellmundwiler7893
@roswellmundwiler7893 10 месяцев назад
You cutting to that ice cream had me in stitches.
@OranCollins
@OranCollins 10 месяцев назад
event sound fun! hope people have fun! love the super detailed look into semiconductors love the content. keep up the great work!
@WormBurger
@WormBurger 10 месяцев назад
Wish I could come.... I'm in Canada. The part that is on fire.
@brodriguez11000
@brodriguez11000 10 месяцев назад
Send some wafers up that need some thermal oxidation.
@DaveKeil
@DaveKeil 10 месяцев назад
4:19 we also used electroplating and sputtering, but they may just not be in vouge now. Sputtering uses the migration of a plasma, so I think it's gets thrown into PVD a lot but technically it isn't.
@xxportalxx.
@xxportalxx. 10 месяцев назад
Sputtering IS technically pvd, the same as how epi IS technically cvd. In a fab they get separated so that you can split up sections (and therefor workloads), as well as by product workflow (for instance often you'll have wet etch machines thrown into diffusion or epi areas, or diffusion machines thrown in with implant, etc.)
@jairo8746
@jairo8746 10 месяцев назад
When i saw the title i thought you finally got invited for a TSMC tour.
@JigilJigil
@JigilJigil 10 месяцев назад
19:14 There is no Varian anymore, they are not a player in semicondcutor industry anymore, Applied Materials bought their ion implantation technology 12 years ago, Varian was splited into three companies in late 90s, Varian, Inc. (scientific instruments - acquired by Agilent Technologies), Varian Semiconductor. (acquired by Applied Materials) and Varian Medical Systems (they make radiation therapy hardware and software as an independent company within Siemens).
@jaqueitch
@jaqueitch 10 месяцев назад
Best technology channel, hands down
@rifkiamil
@rifkiamil 10 месяцев назад
Love ❤️ this ! Thank you for making it 🎉
@MrHav1k
@MrHav1k 10 месяцев назад
It's a miracle after all these steps the end products not only operate, but do so on a consistent enough basis to be relatively cheap and profitable!! Looks like several subsequent miracles are needed to make this all work.
@LPWide80
@LPWide80 10 месяцев назад
Hope we see that ALD video soon!
@huyked
@huyked 10 месяцев назад
If mankind ever experiences a catastrophic event where this technology is lost, I think this tech could be lost forever. The collective knowledge that led to this technology is mind-boggling. This makes me think, perhaps mankind has lost technology through some past catastrophe.
@kazedcat
@kazedcat 10 месяцев назад
We do but mostly it is some form of witch brew that does something useful in the past. Microchip fabrication is in another level of complexity comparable to summoning the demon to do something for you.
@OzMat
@OzMat 10 месяцев назад
Mankind and more specifically China has already experienced this catastrophic event. It or he was called Mao Zedong and his preferred tool for mass destruction was Communism. The Great Leap Forward, the Cultural Revolution, and the 100 Flowers Movement, between them claimed up to 80 million lives. Mao specifically had intellectuals killed or any person who demonstrated the ability or will for free or independent thinking. I dare say anyone or the type of person who watches this youtube channel would had little hope of survival in Mao times. I often compare Taiwan with it's 26,000,000 population and Communist China and its 1.4 Billion people. Taiwan os so far ahead of China in the technological level. It seems to me that mankind would be so much more advanced than what we are at present but for the likes of Mao, Stalin, Hitler and their likes. First rule of taking over a country, kill or imprison the intellectuals.
@eedobee
@eedobee 9 месяцев назад
@@OzMatwhen I consider how far Taiwan, South Korea, Hong Kong and Singapore have come, and imaging a world where China was that advanced, it really does make you wonder. If China was as developed as her smaller siblings, the world would be so wonderful. It hurts to think about.
@OzMat
@OzMat 9 месяцев назад
@@eedobee A very apt comparison, the 80 million chinese people killed due to the CCP insanity is a tragedy and a terrible loss for humanity.
@mythmakinglife
@mythmakinglife 10 месяцев назад
Очень крутые обзоры на тему микроэлектроники. Но в этом видео вроде как не хватает 2-х операций: CMPlanarization и Electroplating. Хотя я не знаю, может в современных передовых процессах они уже не используются, я не знаю) В целом очень хорошо и ясно получилось. Спасибо, что находите время делать эти обзоры. Очень интересно смотреть)
@alphar9539
@alphar9539 10 месяцев назад
Superb overview
@tykjpelk
@tykjpelk 10 месяцев назад
I just gave a talk covering the same stuff and barely managed to squeeze it into an hour, how can you do 20 minutes? I'm amazed.
@LawpickingLocksmith
@LawpickingLocksmith 10 месяцев назад
Thanks, great presentation.
@68hoffman
@68hoffman 10 месяцев назад
wow that was kool to see ..i got a strong printing resume and havs done some litho work ..but it reminds me of the negative to positve printing from the photo masking to burning the plate to developing it to bring out the image ...screen printing screen buring done with the emulsion coated on the screen then the film positive or negative burns on it then the non exposed emusion washes away ..lol..but that is much more complicated fer sure ..i would want to see the wafer finishing where it's crop's apart and seated inside where it's being used a chip? or a processor?idk ..thanks
@MynorBriones
@MynorBriones 10 месяцев назад
Excelente, me encantó escuchar tu explicación. 💯
@senefelder
@senefelder 10 месяцев назад
You should do a video about metal organic chemical vapor deposition
@Weirdanimator
@Weirdanimator 10 месяцев назад
Fascinating to hear about all the other steps, lithography is always the one we hear the most about as bog-standard PC hardware enthusiasts.
@crow2989
@crow2989 10 месяцев назад
Sometimes he’ll say something and i’ll just go “yup, that sounds right”
@roswellmundwiler7893
@roswellmundwiler7893 10 месяцев назад
Also, you mentioned metrology. Thank you for that.
@oopsiesh
@oopsiesh 10 месяцев назад
that event will be a lot of fun
@PaulGrayUK
@PaulGrayUK 10 месяцев назад
Monty Python warned about this joke, luckily people survived and didn't die laughing, close call.
@TronSAHeroXYZ
@TronSAHeroXYZ 10 месяцев назад
Dope it. Dope it up Asionametry.
@philipmoore3399
@philipmoore3399 10 месяцев назад
Getting hungry with the pizza and cookie 15:35 ..now ice cream..love the Arthur Miller reference
@pdelong42
@pdelong42 10 месяцев назад
~@20:00 - The description of the bays sounds very analogous to the way a datacenter is structured. Lots of provisions for the supporting infrastructure beneath the floors (and the ceiling as well, at-least in the case of datacenters).
@pdelong42
@pdelong42 10 месяцев назад
Also, the weird mix of metric and imperial units you mention also reminds me of the unit David Mermin conjured for his special relativity pedagogy. He uses 1 foot-per-nanosecond as a decent approximation for the speed of light. It works, I guess, but it's super weird (in much the same way that people decried television: what good can possibly come from something that's named using Greek and Roman word-roots mashed together, they said). Anyway, I digress...
@ramdasprasad3792
@ramdasprasad3792 10 месяцев назад
@Asianometry thank u for the great video. I noticed at 17:39 you mention the drain and source of the FET you drew as two different material types...was this intentional? the drain and source of a FET must always be both p or n type. A diode might have been a better example for what your were trying to explain. Otherwise greatly informative video. Thank you for the amazing content😊
@RangiferADV
@RangiferADV 8 месяцев назад
Was surprised you didn't touch on the industry leading Rockwell Automation Retro Encabulator!
@eldarshamukhamedov4521
@eldarshamukhamedov4521 10 месяцев назад
I'm getting a sudden and inexplicable urge to get some ice cream pizza.
@largezo7567
@largezo7567 10 месяцев назад
The ALD needs a video of its own
Далее
The 300mm Silicon Wafer Transition
15:00
Просмотров 249 тыс.
▼ЧЁРНАЯ МАГИЯ 🔮
31:15
Просмотров 513 тыс.
A Deep Dive Into Canon’s Nanoimprint Lithography
20:08
The Transistor That Won the World
24:44
Просмотров 137 тыс.
The Most Confusing Part of the Power Grid
22:07
Просмотров 1,2 млн
Inside a PCB Soldering Factory - in China
18:10
Просмотров 1,8 млн
Etch: Lithography's Unheralded Sibling
18:23
Просмотров 69 тыс.
The Hazardous Life of an Undersea Cable
15:46
Просмотров 268 тыс.
How China Built a Semiconductor Industry
27:37
Просмотров 130 тыс.
ASML's High-NA and Hyper-NA EUV: An Update
16:34
Просмотров 198 тыс.