Тёмный

An Introduction to Verilog 

CompArchIllinois
Подписаться 4,3 тыс.
Просмотров 157 тыс.
50% 1

Опубликовано:

 

26 окт 2024

Поделиться:

Ссылка:

Скачать:

Готовим ссылку...

Добавить в:

Мой плейлист
Посмотреть позже
Комментарии : 137   
@aaroncameron1494
@aaroncameron1494 6 лет назад
This short 5 minute video is the best available on verilog
@theguywithamustache3974
@theguywithamustache3974 3 года назад
Dude even after 7 years i would agree to that
@yugandharreddy1507
@yugandharreddy1507 Год назад
Yes
@TheBest-zi2fp
@TheBest-zi2fp 5 месяцев назад
After 10 years still holds true
@Kobbb17
@Kobbb17 День назад
6 years after, and I agree. I was only made aware of hdl a while ago and I already understand it and verilog. Straightforward video, I love it
@JohnDoeTVChannel
@JohnDoeTVChannel Час назад
Remains undefeated
@akaras250
@akaras250 4 года назад
The best video about verilog for beginners out there!! I' ve seen many of them that are 20-40 minutes long and i couldn't understand a single word. Great work bro!!!
@Alan-lm9no
@Alan-lm9no 3 года назад
Pretty intuitive, this should be the best Verilog introduction video ever.
@nathanielsicard
@nathanielsicard 5 лет назад
I have been searching for this exact video for three days you are an absolute lifesaver thank you
@dave_dennis
@dave_dennis 7 лет назад
Very well organized! This is the most coherent description I've found. Thanks!
@salmansyed9962
@salmansyed9962 4 года назад
This video is the BEST way to understand a whole frickin topic in 5 mins. Literally the best video for beginners
@eggbertherman100
@eggbertherman100 5 лет назад
excellent. absolutely excellent! Love the simplicity in the explanartion and the straight fordwardness
@ibemper1850
@ibemper1850 3 года назад
even though it isn't a programming language, it is very similar to object oriented languages class -> module wire -> variable module adder(o,x,y) -> constructor this is very similar to c++. your explanation is amazing!! thanks for putting in so much effort
@SuperShafi2010
@SuperShafi2010 8 лет назад
Excellent explanation thanx
@XTDHHARRYCX
@XTDHHARRYCX 5 лет назад
Sir, thank you so much! Your video was not only helpful but gave me an excellent start to my verilog learning experience.
@bonvivant8618
@bonvivant8618 4 месяца назад
One of the best video got so far, clear, crisp..
@bibhakardas5761
@bibhakardas5761 2 года назад
Shortest, simplest and best explanation on Verilog!
@raypol1
@raypol1 6 лет назад
Excellent video ! I been trying to learn verilog for a while and this really helped me understand it better.
@mingenwu4008
@mingenwu4008 5 лет назад
The quickest video to understand what the Verilog is. Thx.
@akhilhooda740
@akhilhooda740 3 года назад
RESPECT BROTHER !!! 4 min video>>>>prof's 1 hour lecture
@akashprofile
@akashprofile 2 месяца назад
Best introduction to Verilog! Previously I was thinking that it is a programming language.
@chrishadjipetris6059
@chrishadjipetris6059 2 года назад
This video refers to structural hardware description, but hardware description languages also provide rtl description
@jayeshswami5207
@jayeshswami5207 6 лет назад
This video will definitely save my test *peace*
@companymen42
@companymen42 7 лет назад
You sir a amazing! I thought they only taught VHDL in the midwest, but I guess I'm wrong.
@vincentgao8660
@vincentgao8660 2 года назад
the best video about Verilog, the vlogger is smart for sure.
@sususu9
@sususu9 Год назад
even after years still the best. bless your soul
@habibkazemi5132
@habibkazemi5132 7 лет назад
The best verilog tutorial I ever seen :)
@devasish_
@devasish_ 3 года назад
awesome 5 minutes of my life searching here and there for verilog basics
@Rahul-dv8qb
@Rahul-dv8qb 19 дней назад
Simple and Sweet. Thanks for the informative video☺
@YeMariam828
@YeMariam828 4 месяца назад
Thank you so much it simplifies the core of understanding Verilog with in 5 minutes👏
@mahabuburrahman1160
@mahabuburrahman1160 4 месяца назад
This is the best organized video........take love
@williesolomon614
@williesolomon614 3 года назад
Very well explained. Thank you. Can you make more ruboost tutorial about Verilog.
@mammamia2418
@mammamia2418 2 месяца назад
Simple and straight forward! Thank you!
@harvey2355
@harvey2355 4 года назад
Sir your explanation is best you should must make more videos on verilog as well as system verilog and UVM
@yunosimi7170
@yunosimi7170 3 года назад
You know what RU-vid, next time put this video as the first suggestion for Verilog. Not some company videos nobody will easily understand.
@arifnishan5646
@arifnishan5646 Год назад
best video i found for verilog. More please
@jyotirai4714
@jyotirai4714 2 года назад
why are you stopped making videos on verilogs, its so nice with great and relateble examples
@bikashpandey4051
@bikashpandey4051 2 года назад
This video is the best video about verilog which i understood clearly.
@a7med7asan151
@a7med7asan151 6 лет назад
Amazing Video ! Btw, Really shocked when i knew that Verilog does not describe bedrooms :"D
@SandeepKumar-ls6li
@SandeepKumar-ls6li 2 года назад
Simple and on the point! Best explanation
@dupajasiu920
@dupajasiu920 5 лет назад
great start, I wish there was a full course
@fteiyp
@fteiyp 5 лет назад
you're a legend mate
@sfndude1768
@sfndude1768 5 лет назад
This is the best short video for verilog basic
@SureshBabu-lk7cm
@SureshBabu-lk7cm 2 года назад
Sir I am beginner simple study and systematic way cleary explain.thanks lot
@allenamoin.personal
@allenamoin.personal Год назад
Been reading websites about Verilog. Why can't websites explain any clearer like your videos. Thanks!
@shivashankar28
@shivashankar28 5 лет назад
Beautiful !!! The world needs more FPGAs
@PibuFilms
@PibuFilms 3 года назад
amazing, love the quick bite info style tysm
@statha3624
@statha3624 3 года назад
Wow this was super helpful!!! Thank god i got to this before my exams came up
@RegenerationOfficial
@RegenerationOfficial 2 года назад
It's like PLC languages when they were more basic than they are now. My favorite since I was trained using S7 with LOGO!, a Click and Drag Logic Gates and Compile, is FBD (Function Block Diagram). How far am I from machine code or is it really not so advanced for stability’s sake? In that regard, my favorite quote is : "If I would deliver code like the folks from windows do, I would lose my job immediately"
@furkanunsal5814
@furkanunsal5814 2 года назад
as a computer science student I was researching about vhdl, after around 10 hours of reading and watching I decided to check how verilog works and found this video. I think I might want to learn verilog instead.
@JackIsNotInTheBox
@JackIsNotInTheBox 7 лет назад
THANK YOU! The visual aids helped alot!
@elmerosorto1680
@elmerosorto1680 3 года назад
Thanks for solving my doubts about verilog
@fenghc1
@fenghc1 5 лет назад
Very concise and clear description!
@ziangtian
@ziangtian Год назад
Amazingly concise and so beginner-friendly
@insider7649
@insider7649 2 года назад
😂😂I watched 4 videos on verilog ,and this single video explained content of those 4 videos. Superb explanation.
@tukkinum
@tukkinum 4 года назад
Oh my God. Thank you. Seriously
@jiaqixiu6037
@jiaqixiu6037 3 года назад
Thanks ! Your explanation was clear !
@nileshbaldaniya9419
@nileshbaldaniya9419 3 года назад
very easier beginning for learner. thankyou sir...
@perceptrongaming4290
@perceptrongaming4290 4 года назад
that was great !, dont u have a playlist on verilog coding /xilinx ??
@DrewCottrell
@DrewCottrell 3 года назад
Exceptionally good intro. Nicely done.
@balramsharma5887
@balramsharma5887 6 лет назад
waw...superb teaching technique....thank u
@anaformiaabalatusdispositi4769
Very good introduction, thanks. It somewhat begs the questions "wires?". Why not do it in the proceedural way of simly passing the output of one function (module) into the input of another? Having the wire declared independently looks like it can cause problems.... surely it allows you to have the one wire as the output of more than one module? Why have a language grammar which allows you do that by having a wire exist as a seperate entity to the inputs and outputs? Whilst a wire is exactly that in the real world... independent of the circuits you put it in... in the world of circuit design you don't want wires to be floating around on their own.
@saidulislamsayem9278
@saidulislamsayem9278 2 года назад
Awesome!!! Thanks a bunch!!!
@shehzadkhan7570
@shehzadkhan7570 5 лет назад
Amazing Video Sir! Kindly make such a video on VHDL
@pupw5519
@pupw5519 Год назад
Great video, I learned more about verilog!
@it154-sonineel9
@it154-sonineel9 2 года назад
Best Video Ever U seen About Iverilog
@ilak9806
@ilak9806 5 лет назад
Thank you for your simple and understandable video.
@sofiabuchelnikova4143
@sofiabuchelnikova4143 3 года назад
Wow, now I understand what Verilog actually is, thanx!
@varunb9777
@varunb9777 4 года назад
Best video for beginners.
@michaelscience2481
@michaelscience2481 5 лет назад
You just crushed it bro.
@nikosnikolakis6991
@nikosnikolakis6991 2 года назад
it tok me exactly 5 mins to understand . nice job
@saurabhsinha8779
@saurabhsinha8779 7 лет назад
plz make more videos..
@user-ik9th1nk9n
@user-ik9th1nk9n 7 лет назад
Thank you so much . You are awesome!!!
@tahsinalmahi
@tahsinalmahi 5 лет назад
Thanks! Excellent explanation.
@harsh__nasit
@harsh__nasit 6 лет назад
Woah man! Thank you so much!!! 😊 Really appreciate your efforts!
@laadugaming6535
@laadugaming6535 2 года назад
Excellent bro.. ❤️👑
@saudk9261
@saudk9261 8 лет назад
Great short description of Verilog
@le408j.venkatakash8
@le408j.venkatakash8 Год назад
sir plzz make A playlist on complete verilog or plzz suggest a book for verilog
@smartway6992
@smartway6992 3 года назад
superb video thank you thank you thank you so so much :D
@mzshmkn
@mzshmkn 3 года назад
Okay, this was amazing. Thank you
@agstechnicalsupport
@agstechnicalsupport 5 лет назад
Very good introduction to Verilog !
@yangzhou9548
@yangzhou9548 4 года назад
such a great explanation, thank you
@mubasheer5584
@mubasheer5584 5 лет назад
Wow an amazing way to explain such sensitive language for the dumbs like me.. Please give me more links of your video I wanna learn whole RTL from you.
@akshaynadig1893
@akshaynadig1893 7 лет назад
Really wonderful expanation ..
@Live4Ibanez
@Live4Ibanez 4 года назад
Excellent video
@HK-no9wm
@HK-no9wm 7 лет назад
extremely well explained. Thank you sir :)
@xxw6371
@xxw6371 4 года назад
impressive and informative!
@taneravci6808
@taneravci6808 4 года назад
Nice video, do more
@SaadShah1133
@SaadShah1133 6 лет назад
Very well presented ...
@TheLogicalGigabyte
@TheLogicalGigabyte 6 лет назад
Fantastic, thank you!
@stevewong7066
@stevewong7066 2 года назад
amazing video
@something2493
@something2493 4 года назад
This is amazing!
@kabandajamir9844
@kabandajamir9844 Год назад
So nice thanks
@MilanKarakas
@MilanKarakas 5 лет назад
My bad - I started first with VHDL, then discovered that Verilog is easier. Yet, somehow I like more VHDL than Verilog. I can't understand myself.
@saurabhsinha8779
@saurabhsinha8779 7 лет назад
this is awesome... sir
@yusrymahmud6872
@yusrymahmud6872 7 лет назад
can we use assign statement? for example assign or_wire= x|y ; assign not_wire= ~y ; assign o=or_wire & not_wire ;
@caleb7799
@caleb7799 3 года назад
damn! how much clearer can you get??
@ssnlp44
@ssnlp44 3 года назад
excellent...
@khandakerarif8681
@khandakerarif8681 2 года назад
this 4 min video is better than intel's 50 min video.
@hansoflaherty9864
@hansoflaherty9864 Год назад
Awesome
@MustafaTULU32
@MustafaTULU32 10 лет назад
Thanks, It was very clear.
@mohammedalsaeedi9417
@mohammedalsaeedi9417 5 лет назад
Best video for verilog
@cryptodavidw
@cryptodavidw 7 лет назад
really cool video, thanks :D
@petercheung63
@petercheung63 6 лет назад
very good, thanks
@NateJohnsonMusic
@NateJohnsonMusic 5 лет назад
Best video ever
Далее
The best way to start learning Verilog
14:50
Просмотров 134 тыс.
Купил КЛОУНА на DEEP WEB !
35:51
Просмотров 2,5 млн
An Example Verilog Test Bench
8:14
Просмотров 75 тыс.
Introduction to Verilog Part 1
24:11
Просмотров 150 тыс.
Get Started With FPGAs and Verilog in 13 Minutes!
13:30
Lecture 1: Introduction to Power Electronics
43:22
Просмотров 725 тыс.
What is an FPGA? Intro for Beginners
13:22
Просмотров 368 тыс.
Verilog intro - Road to FPGAs #102
12:08
Просмотров 108 тыс.
I coded one project EVERY WEEK for a YEAR
13:13
Просмотров 679 тыс.