Тёмный

Boolean Algebra And LUTs in FPGA 

nandland
Подписаться 49 тыс.
Просмотров 108 тыс.
50% 1

NEW! Buy my book, the best FPGA book for beginners: nandland.com/book-getting-sta...
Learn how complicated Boolean Algebra equations can be shown both in a schematic and in a truth table. This video introduces the FPGA component called a Look-Up Table or LUT, and explains how LUTs work inside of an FPGA to implement Boolean equations.
Support this channel! Buy a Go Board, the best development board for beginners to FPGA:
www.nandland.com/goboard/intr...
Like my content? Help me make more at Patreon!
/ nandland

Опубликовано:

 

23 июл 2024

Поделиться:

Ссылка:

Скачать:

Готовим ссылку...

Добавить в:

Мой плейлист
Посмотреть позже
Комментарии : 85   
@parasjain1048
@parasjain1048 7 лет назад
If you're only looking for LUTs just start from 18:00 xD
@yakirkaye4358
@yakirkaye4358 7 лет назад
Paras Jain thank you sir!
@zhaoyue9559
@zhaoyue9559 6 лет назад
Thank you! Really
@tompol5433
@tompol5433 6 лет назад
thank you good sir
@Angadkumar_
@Angadkumar_ 5 лет назад
thanks
@unkrishnendhu
@unkrishnendhu 4 года назад
Thank you
@brasildocara
@brasildocara 8 лет назад
¡¡¡¡ PLEASE FOLKS LET'S FUNDRAISE TO BUY HIM AN ERASER !!!!!
@adambynes
@adambynes 8 лет назад
LOL
@blabla9800
@blabla9800 6 лет назад
Why????, he already has got one!!!. Let him do things his way!!!!! If something works for you it does not mean that the same thing works well for others.:)))))))))))))))
@DJFixNYC
@DJFixNYC 4 года назад
or some shirts
@sonetmollick4630
@sonetmollick4630 4 года назад
Please, you should not be mean. He is doing great!
@entrepreneurcoder
@entrepreneurcoder 4 года назад
For the FPGA example (A' + B' + C'), you could use De Morgan's theorem to rewrite it as (A*B*C)', meaning output 0 when you have 1-1-1, and output 1 for all other cases
@Lwyte17
@Lwyte17 2 года назад
Just ordered a Go Board, can't wait to start learning this stuff. Thanks a million man, people like you that share knowledge and make it easy to learn make this world a better place.
@toufiqmusah6480
@toufiqmusah6480 2 года назад
It’s been 7 months. How’s the journey so far?
@chrisbeebe4326
@chrisbeebe4326 6 лет назад
Just getting into FPGAs and this is fantastic so far. I look forward to the rest of your videos!
@russellokamura2022
@russellokamura2022 5 лет назад
Thank you for these videos. FPGA resources are hard to come by sometimes and between the RU-vid videos on your channel and the references on the nandland website, I feel like they have really helped me with my FPGA development. I'm here because I was watching some of your recent videos (mainly the interview question one) and realized how I lack a real fundamental understanding of the things going on under the hood of an FPGA. Anyway, thanks again, I'll be watching. Also, great name haha (had to say it).
@MsSaTify
@MsSaTify Год назад
Nandland great still to this day. Someone get this guy a whiteboard eraser tho
@Nandland
@Nandland Год назад
patreon.com/nandland :)
@jeremigendron3120
@jeremigendron3120 5 лет назад
Finally now understand how "wiring" works in an FPGA. I thought for the longest time it was controlling tension between gates (on actual physical wires). Now I understand that each LUT will "cache" which gates to apply to its inputs and yield an output (hence programmable gate array).
@Aemilindore
@Aemilindore 5 лет назад
your series on getting started with FPGA's is just great!!!!
@user-ib6fd3gs4t
@user-ib6fd3gs4t 8 лет назад
Thumb up for explaining what are LUTs.
@Sakura-kc4ms
@Sakura-kc4ms 8 лет назад
thank you for your good explanation we need more videos from you
@SkGow
@SkGow 8 лет назад
Thank you. That was helpful. Keep it going
@petteral94
@petteral94 7 лет назад
Thank you for making these informative videos :)
@mikail5682
@mikail5682 5 лет назад
great explanations, thank you
@alexandrevenito1215
@alexandrevenito1215 6 лет назад
Nice work! Congrats!
@emmanuelbabu2215
@emmanuelbabu2215 9 лет назад
thank you for the videos!
@wondermh406
@wondermh406 4 года назад
Thanks , Its nice that you explained from root.
@JetNmyFuture
@JetNmyFuture 9 лет назад
I may send you a dry eraser ;) Hope there are more on the way.
@marbrydav9698
@marbrydav9698 4 года назад
Very helpful, thanks!
@MegaShivaji007
@MegaShivaji007 7 лет назад
keep the good work up
@justicehunt7136
@justicehunt7136 2 года назад
Very well explained 👍
@rishitpallav5470
@rishitpallav5470 4 года назад
Does the precedence always follow from left to right? Or depends upon the operator? 14:03
@Richardhu1983
@Richardhu1983 4 года назад
thank you. very helpful.
@leahthegeek9677
@leahthegeek9677 Год назад
thank you so much sir you explain amazingly
@gurkiransb
@gurkiransb 7 лет назад
Cool work! Keep it up! :)
@terencewright2223
@terencewright2223 3 года назад
Very good. Thank you
@josesoto7475
@josesoto7475 5 лет назад
Good work !
@AhmedNegm123
@AhmedNegm123 Год назад
amazing work 👍👍
@oluwatoniodetayo3141
@oluwatoniodetayo3141 3 месяца назад
How do you know which logic gets precedence? Is it always "and" or is it the first logic operation from the left?
@Fnolepenoll
@Fnolepenoll 8 лет назад
Can you replace the two OR-gates(7:17) with a three input OR-gate?
@brasildocara
@brasildocara 8 лет назад
+cile88 +nandland I have the same question!! Exists 3 inputs Or--Gates ?
@jitesh3005
@jitesh3005 3 года назад
Thanks man...u r great
@aerohk
@aerohk 9 лет назад
Thank you sir!!
@brasildocara
@brasildocara 8 лет назад
10:46 HAHAHAHAHAHAH !!!! two hours after he realizes there is not the 0-0-0
@ycthakor1984
@ycthakor1984 7 лет назад
nice explanation dear
@mohammedk.h.f3016
@mohammedk.h.f3016 2 года назад
Thank you
@yasard1345
@yasard1345 4 года назад
You make really informative videos. Could you please arrange your videos in a proper sequence? Would be really helpful.
@JoshDan12
@JoshDan12 5 лет назад
In class the other day, my prof was also talking about something called SLUTs. Can you make a video explaining what SLUTs are?
@Nandland
@Nandland 5 лет назад
Not googling that one...
@raneelpawar7959
@raneelpawar7959 2 года назад
Useful
@tombouie
@tombouie 2 года назад
Thks
@lunapresentsstuff
@lunapresentsstuff 4 года назад
Hi I have a question. How many LUTs do I need when I want to realize an AND Gate with 8 inputs ? Maybe two LUTs with 4 inputs ? How can I combine LUTs ?
@Nandland
@Nandland 4 года назад
The synthesis tools will take care of this. In the real world you don't often need to count individual LUTs.
@lunapresentsstuff
@lunapresentsstuff 4 года назад
​@@Nandland What would a synthesis tool do, for example ?
@DevaDeva-oq3kv
@DevaDeva-oq3kv 3 года назад
Don't we have 3 input or gate?
@jigishparikh1968
@jigishparikh1968 7 лет назад
It does not explain what inside a LUT allows itself to reconfigure depending on the truth table you want to implement. Can you explain that?
@bwack
@bwack 7 лет назад
Hi LUTs are close to multiplexers. Look up the Shannon Expansion theorem. I can recommend googling "Implementation of Boolean Functions through Multiplexers with the Help of Shannon Expansion Theorem" . You'll find your answer in the conclusion of that document i think. The doc is not the best on explaining the theorem itself, for that there is a nice video on youtube. Can't remember the name of the video right now. I'm learning about FPGAs myself right now, are you ? :) Maybe you ask more what mechanism makes it reconfigure ? SRAM cells usually.
@saptarshidas488
@saptarshidas488 2 года назад
I guess, a LUTs are a complex arrangement of logic gates (maybe NAND gates), in a matrix shape, where each of these gates are interconnected by wires. When we program a LUT, we actually decide which interconnections to activate between the required logic gates. That's how the LUTs can reconfigure themselves according to the boolean function. This is just my thinking, I don't know if it's correct.
@JacklapottTv
@JacklapottTv 5 лет назад
18:00 LMAO, the guy lied to us, trust no more xD
@aramgaribyan6248
@aramgaribyan6248 6 лет назад
Your first FPGA is technically incorrect. You're supposed to use a 3-input OR-gate. The way you have it doesn't allow the 0-0-0 situation. :)
@almuhanadahmedsaidalhashmi4696
if you want to understand start the video from the end and backword
@olivialinden8699
@olivialinden8699 3 года назад
Great
@mandlik1996
@mandlik1996 8 лет назад
are you the russell from bully?
@susanneschmitz9390
@susanneschmitz9390 6 лет назад
Thanks for your Videos! I hope they help me to rock my Bachelorthesis :-) You're cool, clever and hot!
@dalvi_
@dalvi_ 4 года назад
Thank you ~ a CS noob on the way.
@campbell1175
@campbell1175 4 года назад
Not C sounds a bit iffy depending on how you say it really!
@user-cl7iu3ff3i
@user-cl7iu3ff3i 5 лет назад
القوية يمسح بأيده قحط مساحات 😂😂
@masbro1901
@masbro1901 3 года назад
but you're not explain details about LUT, what is inside LUT, is it a hardware? is it a software? is it just line of codes? is it a memory? you just draw a box's name LUT. but thanks! well enough explained about other thing.
@saptarshidas488
@saptarshidas488 2 года назад
I guess it is good to imagine LUTs as mini FPGAs themselves. A matrix arrangement of universal gates, interconnected by thousands of wires. Thus, several combinations of logic gate connections are possible.
@kumbaya234
@kumbaya234 4 года назад
I have never seen a professor /teacher who wipes out the board with what he or she is wearing !! Are u still in business ? I like to get some help from you- paid services - I feel sorry for your T-Shirt !
@imho2278
@imho2278 3 года назад
8.50...000. C must have value of 0.
@imho2278
@imho2278 3 года назад
At 10.14 c is high and you called it not.
@imho2278
@imho2278 3 года назад
Your truth table will have no output for a not, b not, c not.
@PrashantKumarSharma
@PrashantKumarSharma 6 лет назад
You liar 17:35
@jeffreymelanson9230
@jeffreymelanson9230 6 лет назад
You should post a link to your videos on FPGAs.
@nenani1817
@nenani1817 3 года назад
Anyone else thinks this was really weird?
Далее
What is a Flip-Flop?  How are they used in FPGAs?
24:13
Просмотров 158 тыс.
Tech Talk: eFPGA LUTs
11:09
Просмотров 15 тыс.
Example Problems Boolean Expression Simplification
10:03
LUTs Explained! 1D vs 3D LUT?
5:34
Просмотров 59 тыс.
What is an FPGA? Intro for Beginners
13:22
Просмотров 364 тыс.
What is a FIFO in an FPGA
17:47
Просмотров 73 тыс.
XDC 2019 | Everything Wrong With FPGAs - Ben Widawsky
1:03:20
Embedded Programming: Lookup Tables
16:45
Просмотров 8 тыс.
What is a Clock in an FPGA?
18:58
Просмотров 53 тыс.