Тёмный

Free Systemverilog Course : Udemy: VLSI Verification Courses: SV Beginner 2: Lear More TB Constructs 

Systemverilog Academy
Подписаться 16 тыс.
Просмотров 19 тыс.
50% 1

Опубликовано:

 

21 окт 2024

Поделиться:

Ссылка:

Скачать:

Готовим ссылку...

Добавить в:

Мой плейлист
Посмотреть позже
Комментарии : 15   
@mohammedimrankhan6265
@mohammedimrankhan6265 4 года назад
In mailbox explanation end class should be axi driver instead of axi generator.Nice video
@SystemverilogAcademy
@SystemverilogAcademy 4 года назад
Yes, that's true. Thanks for the correction & feedback !!
@lixiang7349
@lixiang7349 Год назад
Among * 3 not between.
@SystemverilogAcademy
@SystemverilogAcademy Год назад
👍
@vidyagopinath4459
@vidyagopinath4459 3 года назад
The subtitles need to be corrected... Ot Nice class sir
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Thanks for feedback 👍 Subtitles are auto generated and won't be good enough to relay on .
@alterguy4327
@alterguy4327 3 года назад
58:04 Bookmark
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Its kind of summary for interface usage 👍
@alterguy4327
@alterguy4327 3 года назад
Thankyou
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
👍
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Thanks 🙂
@alterguy4327
@alterguy4327 3 года назад
Is this course available in Udemy
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Any of our course are no more available in Udemy. All in this RU-vid channel
@uday5786
@uday5786 4 года назад
thank u for video sir.can u please provide the ppt
@SystemverilogAcademy
@SystemverilogAcademy 4 года назад
Thanks for the feedback. The materials can't be shared and sorry about that.
Далее
#kikakim
00:17
Просмотров 7 млн
She Couldn’t Believe I Did This! 😭 #shorts
00:12
Social Constructs (or, 'What is A Woman, Really?')
24:17
Database Sharding and Partitioning
23:53
Просмотров 91 тыс.
Systemverilog Callback With Examples
14:33
Просмотров 7 тыс.
#kikakim
00:17
Просмотров 7 млн