Тёмный

Systemverilog Training for Absolute Beginner - The first program in Systemverilog. 

Systemverilog Academy
Подписаться 16 тыс.
Просмотров 36 тыс.
50% 1

Опубликовано:

 

21 окт 2024

Поделиться:

Ссылка:

Скачать:

Готовим ссылку...

Добавить в:

Мой плейлист
Посмотреть позже
Комментарии : 33   
@108ahah
@108ahah 4 года назад
Finally youtube has complete series of system verilog teaching to absolute beginner. Thank you @Systemverilog Academy very much! Appreciate your effort. Will be looking for more to come. :)
@SystemverilogAcademy
@SystemverilogAcademy 4 года назад
Thank you for the feedback, appreciate it !
@nayanendubiswas8992
@nayanendubiswas8992 3 года назад
@@SystemverilogAcademy Very Well Explained...Fantastic..Looking forward to other videos
@Eshaandakshita
@Eshaandakshita 2 года назад
U r explanation is simply super 👌 ... thank you
@SystemverilogAcademy
@SystemverilogAcademy 2 года назад
Thanks for the feedback 👍
@bhuvanmangalore4483
@bhuvanmangalore4483 3 года назад
Wow ✨. This is just amazing
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Thanks 👍
@satheesh83
@satheesh83 2 года назад
Thanks for setting up the videos. After watching the first video, what are the video's that one needs to watch to get full understanding of System Verilog Design and Verification ? I prefer to watch the youtube version of your training materials. other one looks like is machine voice
@SystemverilogAcademy
@SystemverilogAcademy 2 года назад
Thanks for the feedback 🙂. The videos are arranged as courses , and courses are listed in our website systemverilogacademy.com/ on which you may choose the order.
@vasundharakakda3387
@vasundharakakda3387 4 года назад
Hello. Firstly thanks for starting this channel. I am also taking a system verilog class at school and these videos will help me understand SV even better. Can you also explain the softwares that you’re using for writing the program and for simulation/synthesis so that we can download the softwares and follow along.
@SystemverilogAcademy
@SystemverilogAcademy 4 года назад
Short answer to your question- Use the free online platform called "EDA Playground". Long answer is on the way, we will be publishing a video on that shortly.
@leelaraj7007
@leelaraj7007 3 года назад
Thank you for uploading.. It was really very informative..
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Great. Thank you !
@gadibhavana8841
@gadibhavana8841 3 года назад
how are the paid courses of SV and UVM?
@ashayalla9467
@ashayalla9467 Год назад
sir when I'm simulating the adder program ,if any of the 2 inputs are logic 1 then resulting sum and carry out as logic 0's instead of 0and 1
@LeakyFaucett
@LeakyFaucett 3 года назад
Thank you for taking the time to explain these concepts. However you need to work on the sound quality of your videos. There is an echo and the voice of the speaker is not that clear. Perhaps he would be more clear if he wore a microphone.
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Thanks for the feedback, will try to fix issues from next recording.
@gadibhavana8841
@gadibhavana8841 3 года назад
Hi. If I join the channel for paid courses, will I be able to access the previously uploaded videos of SV and UVM?
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Yes, you will get access to all courses. (In fact, they are not uploaded on a regular basis, its just a one time upload. )
@gauravgoel7989
@gauravgoel7989 2 года назад
do you have any course for verilog ? I want to start from verilog and then go to system verilog. Or can i start directly from system verilog, pls suggest.
@SystemverilogAcademy
@SystemverilogAcademy 2 года назад
Sorry, we don't have any courses on Verilog. I don't think starting with Verilog is a great idea nowadays.
@kunalsawant6992
@kunalsawant6992 2 года назад
The syntax for declaring the port size is:- input logic [7:0] a; but in assign statement, port size is declared after the port name. assign sum = result [7:0]. Can you explain this to me
@SystemverilogAcademy
@SystemverilogAcademy 2 года назад
When you use the array after declaring, the indexing will be put after the array/variable name.
@bacardilove1981
@bacardilove1981 4 года назад
hello there can u help me with flags? i want to make a programm that takes like inputthe C,N,V,Z and has output HS,LS,HI,LO,GE,LE,LT of 2 numbers A and B . it has to be in construction mode and only with the built-in gates from SV. can u help me pls
@SystemverilogAcademy
@SystemverilogAcademy 4 года назад
Hi Anthimos, It would be difficult for us to help with specific solutions, sorry about that.
@harsha9215
@harsha9215 3 года назад
sir Is it compulsary to use internal signal instead assigning logic directly to output ports similar to that of verilos
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
Not really. Give a try like *{c_out, sum} = a + b + c_in ;*
@Priya-tm2nh
@Priya-tm2nh 4 года назад
nice videoes where can I find the full playlist?
@SystemverilogAcademy
@SystemverilogAcademy 4 года назад
Playlist link: ru-vid.com/group/PL7q7nkSfmotuZNz8q_dTqhXY1-rZmIRfP
@Priya-tm2nh
@Priya-tm2nh 4 года назад
@@SystemverilogAcademy here only 3 videos are there
@SystemverilogAcademy
@SystemverilogAcademy 3 года назад
@@Priya-tm2nh Complete new FREE course is now published in www.systemverilogacademy.com/
@01_arvind59
@01_arvind59 4 года назад
sir provide video for verilog also.
@SystemverilogAcademy
@SystemverilogAcademy 4 года назад
Thank you for the feedback, but I don't there is much value in learning Verilog now.
Далее
Как не носить с собой вещи
00:31
VHDL vs. Verilog - Which Language Is Better for FPGA
6:19
The best way to start learning Verilog
14:50
Просмотров 132 тыс.
How computer processors run conditions and loops
17:03
Просмотров 128 тыс.
My 2 Year Journey of Learning C, in 9 minutes
8:42
Просмотров 630 тыс.
Why Consider SystemVerilog for Synthesizable RTL
41:01
All Rust features explained
21:30
Просмотров 315 тыс.
Learn VERILOG for VLSI Placements for FREE | whyRD
16:38